Part Number Hot Search : 
BC850T 54230 MOC3040 KIA431 NCV4276 ADE0404 LAA127 1500A
Product Description
Full Text Search
 

To Download TMS320DM6446ZWT Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  1 digital media system-on-chip (dmsoc) 1.1 features tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 high-performance digital media soc arm926ej-s core ? 513-, 594-mhz c64x+? clock rates ? support for 32-bit and 16-bit (thumb? mode) instruction sets ? 256.5-, 297-mhz arm926ej-s? clock rates ? dsp instruction extensions and single ? eight 32-bit c64x+ instructions/cycle cycle mac ? 4752 c64x+ mips ? arm? jazelle? technology ? fully software-compatible with c64x / ? embeddedice-rt? logic for real-time arm9? debug ? extended temperature devices available arm9 memory architecture advanced very-long-instruction-word (vliw) ? 16k-byte instruction cache tms320c64x+? dsp core ? 8k-byte data cache ? eight highly independent functional units ? 16k-byte ram six alus (32-/40-bit), each supports ? 8k-byte rom single 32-bit, dual 16-bit, or quad 8-bit arithmetic per clock cycle embedded trace buffer? (etb11?) with 4kb two multipliers support four 16 x 16-bit memory for arm9 debug multiplies (32-bit results) per clock endianness: little endian for arm and dsp cycle or eight 8 x 8-bit multiplies (16-bit video processing subsystem results) per clock cycle ? front end provides: ? load-store architecture with non-aligned ccd and cmos imager interface support bt.601/bt.656 digital ycbcr 4:2:2 ? 64 32-bit general-purpose registers (8-/16-bit) interface ? instruction packing reduces code size preview engine for real-time image ? all instructions conditional processing ? additional c64x+? enhancements glueless interface to common video protected mode operation decoders exceptions support for error detection histogram module and program redirection auto-exposure, auto-white balance and hardware support for modulo loop auto-focus module operation resize engine c64x+ instruction set features ? resize images from 1/4x to 4x ? byte-addressable (8-/16-/32-/64-bit data) ? separate horizontal/vertical control ? 8-bit overflow protection ? back end provides: ? bit-field extract, set, clear hardware on-screen display (osd) ? normalization, saturation, bit-counting four 54-mhz dacs for a combination of ? compact 16-bit instructions ? composite ntsc/pal video ? additional instructions to support complex ? luma/chroma separate video multiplies (s-video) c64x+ l1/l2 memory architecture ? component (ypbpr or rgb) video ? 32k-byte l1p program ram/cache (direct (progressive) mapped) digital output ? 80k-byte l1d data ram/cache (2-way ? 8-/16-bit yuv or up to 24-bit rgb set-associative) ? hd resolution ? 64k-byte l2 unified mapped ram/cache ? up to 2 video windows (flexible ram/cache allocation) please be aware that an important notice concerning availability, standard warranty, and use in critical applications of texas instruments semiconductor products and disclaimers thereto appears at the end of this document. all trademarks are the property of their respective owners. production data information is current as of publication date. copyright ? 2005?2008, texas instruments incorporated products conform to specifications per the terms of the texas instruments standard warranty. production processing does not necessarily include testing of all parameters.
1.2 description tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com external memory interfaces (emifs) ? ieee 802.3 compliant ? 32-bit ddr2 sdram memory controller ? media independent interface (mii) with 256m-byte address space (1.8-v i/o) vlynq? interface (fpga interface) ? asynchronous16-bit wide emif (emifa) host port interface (hpi) with 16-bit with 128m-byte address reach multiplexed address/data flash memory interfaces usb port with integrated 2.0 phy ? nor (8-/16-bit-wide data) ? usb 2.0 high-/full-speed (480-mbps) client ? nand (8-/16-bit-wide data) ? usb 2.0 high-/full-/low-speed host flash card interfaces (mini-host, supporting one external ? multimedia card (mmc)/secure digital (sd) device) with secure data i/o (sdio) three pulse width modulator (pwm) outputs ? compact flash controller with true ide on-chip arm rom bootloader (rbl) to boot mode from nand flash or uart ? smartmedia ata/atapi i/f (ata/atapi-6 specification) enhanced direct-memory-access (edma) individual power-saving modes for arm/dsp controller (64 independent channels) flexible pll clock generators two 64-bit general-purpose timers (each configurable as two 32-bit timers) ieee-1149.1 (jtag) boundary- scan-compatible one 64-bit watch dog timer up to 71 general-purpose i/o (gpio) pins three uarts (one with rts and cts flow (multiplexed with other device functions) control) 361-pin pb-free bga package one serial peripheral interface (spi) with two (zwt suffix), 0.8-mm ball pitch chip-selects 0.09- m m/6-level cu metal process (cmos) master/slave inter-integrated circuit (i 2 c bus?) 3.3-v and 1.8-v i/o, 1.2-v internal audio serial port (asp) applications: ? i2s ? digital media ? ac97 audio codec interface ? networked media encode/decode ? standard voice codec interface (aic12) ? video imaging 10/100 mb/s ethernet mac (emac) the tms320dm6446 (also referenced as dm6446) leverages ti?s davinci? technology to meet the networked media encode and decode application processing needs of next-generation embedded devices. the dm6446 enables oems and odms to quickly bring to market devices featuring robust operating systems support, rich user interfaces, high processing performance, and long battery life through the maximum flexibility of a fully integrated mixed processor solution. the dual-core architecture of the dm6446 provides benefits of both dsp and reduced instruction set computer (risc) technologies, incorporating a high-performance tms320c64x+ dsp core and an arm926ej-s core. the arm926ej-s is a 32-bit risc processor core that performs 32-bit or 16-bit instructions and processes 32-bit, 16-bit, or 8-bit data. the core uses pipelining so that all parts of the processor and memory system can operate continuously. the arm core incorporates: a coprocessor 15 (cp15) and protection module data and program memory management units (mmus) with table look-aside buffers. separate 16k-byte instruction and 8k-byte data caches. both are four-way associative with virtual index virtual tag (vivt). digital media system-on-chip (dmsoc) 2 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the tms320c64x+? dsps are the highest-performance fixed-point dsp generation in the tms320c6000? dsp platform. it is based on an enhanced version of the second-generation high-performance, advanced very-long-instruction-word (vliw) architecture developed by texas instruments (ti), making these dsp cores an excellent choice for digital media applications. the c64x is a code-compatible member of the c6000? dsp platform. the tms320c64x+ dsp is an enhancement of the c64x+ dsp with added functionality and an expanded instruction set. any reference to the c64x dsp or c64x cpu also applies, unless otherwise noted, to the c64x+ dsp and c64x+ cpu, respectively. with performance of up to 4752 million instructions per second (mips) at a clock rate of 594 mhz, the c64x+ core offers solutions to high-performance dsp programming challenges. the dsp core possesses the operational flexibility of high-speed controllers and the numerical capability of array processors. the c64x+ dsp core processor has 64 general-purpose registers of 32-bit word length and eight highly independent functional units?two multipliers for a 32-bit result and six arithmetic logic units (alus). the eight functional units include instructions to accelerate the performance in video and imaging applications. the dsp core can produce four 16-bit multiply-accumulates (macs) per cycle for a total of 2376 million macs per second (mmacs), or eight 8-bit macs per cycle for a total of 4752 mmacs. for more details on the c64x+ dsp, see the tms320c64x/c64x+ dsp cpu and instruction set reference guide (literature number spru732). the dm6446 also has application-specific hardware logic, on-chip memory, and additional on-chip peripherals similar to the other c6000 dsp platform devices. the dm6446 core uses a two-level cache-based architecture. the level 1 program cache (l1p) is a 256k-bit direct mapped cache and the level 1 data cache (l1d) is a 640k-bit 2-way set-associative cache. the level 2 memory/cache (l2) consists of an 512k-bit memory space that is shared between program and data space. l2 memory can be configured as mapped memory, cache, or combinations of the two. the peripheral set includes: 2 configurable video ports; a 10/100 mb/s ethernet mac (emac) with a management data input/output (mdio) module; an inter-integrated circuit (i2c) bus interface; one audio serial port (asp); 2 64-bit general-purpose timers each configurable as 2 independent 32-bit timers; 1 64-bit watchdog timer; up to 71-pins of general-purpose input/output (gpio) with programmable interrupt/event generation modes, multiplexed with other peripherals; 3 uarts with hardware handshaking support on 1 uart; 3 pulse width modulator (pwm) peripherals; and 2 external memory interfaces: an asynchronous external memory interface (emifa) for slower memories/peripherals, and a higher speed synchronous memory interface for ddr2. the dm6446 device includes a video processing subsystem (vpss) with two configurable video/imaging peripherals: 1 video processing front-end (vpfe) input used for video capture, 1 video processing back-end (vpbe) output with imaging co-processor (vicp) used for display. the video processing front-end (vpfe) is comprised of a ccd controller (ccdc), a preview engine (previewer), histogram module, auto-exposure/white balance/focus module (h3a), and resizer. the ccdc is capable of interfacing to common video decoders, cmos sensors, and charge coupled devices (ccds). the previewer is a real-time image processing engine that takes raw imager data from a cmos sensor or ccd and converts from an rgb bayer pattern to yuv4:2:2. the histogram and h3a modules provide statistical information on the raw color data for use by the dm6446. the resizer accepts image data for separate horizontal and vertical resizing from 1/4x to 4x in increments of 256/n, where n is between 64 and 1024. the video processing back-end (vpbe) is comprised of an on-screen display engine (osd) and a video encoder (venc). the osd engine is capable of handling 2 separate video windows and 2 separate osd windows. other configurations include 2 video windows, 1 osd window, and 1 attribute window allowing up to 8 levels of alpha blending. the venc provides four analog dacs that run at 54 mhz, providing a means for composite ntsc/pal video, s-video, and/or component video output. the venc also provides up to 24 bits of digital output to interface to rgb888 devices. the digital output is capable of 8/16-bit bt.656 output and/or ccir.601 with separate horizontal and vertical syncs. submit documentation feedback digital media system-on-chip (dmsoc) 3
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the ethernet media access controller (emac) provides an efficient interface between the dm644x and the network. the dm6446 emac support both 10base-t and 100base-tx, or 10 mbits/second (mbps) and 100 mbps in either half- or full-duplex mode, with hardware flow control and quality of service (qos) support. the management data input/output (mdio) module continuously polls all 32 mdio addresses in order to enumerate all phy devices in the system. once a phy candidate has been selected by the arm, the mdio module transparently monitors its link state by reading the phy status register. link change events are stored in the mdio module and can optionally interrupt the arm, allowing the arm to poll the link status of the device without continuously performing costly mdio accesses. the hpi, i2c, spi, usb2.0, and vlynq ports allow dm6446 to easily control peripheral devices and/or communicate with host processors. the dm6446 also provides multimedia card support, mmc/sd, with sdio support. the dm6446 also includes a video/imaging co-processor (vicp) to offload many video and imaging processing tasks from the dsp core, making more dsp mips available for common video and imaging algorithms. for more information on the vicp enhanced codecs, such as h.264 and mpeg4, please contact your nearest ti sales representative. the rich peripheral set provides the ability to control external peripheral devices and communicate with external processors. for details on each of the peripherals, see the related sections later in this document and the associated peripheral reference guides. the dm6446 has a complete set of development tools for both the arm and dsp. these include c compilers, a dsp assembly optimizer to simplify programming and scheduling, and a windows? debugger interface for visibility into source code execution. digital media system-on-chip (dmsoc) 4 submit documentation feedback
1.3 functional block diagram tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 1-1 shows the functional block diagram of the device. figure 1-1. tms320dm6446 functional block diagram submit documentation feedback digital media system-on-chip (dmsoc) 5 jt ag interface system control plls/clock generator input clock(s) power/sleep controller pin multiplexing arm subsystem arm926ej-s cpu 16 kb i-cache 16 kb ram 8 kb d-cache 16 kb rom dsp subsystem c64x+  dsp cpu 32 kb l1 pgm 64 kb l2 ram 80 kb l1 data video-imaging coprocessor (vicp) bt .656, y/c,raw (bayer) video processing subsystem (vpss) ccd controller video interface front end resizer histogram/ 3a preview 10b dac on-screen display (osd) video encoder (venc) 10b dac 10b dac10b dac back end 8b bt .656, y/c,24b rgb ntsc/ pal, s-video, rgb, ypbpr switched central resource (scr) peripherals edma audioserial port i 2 c spi uart serial interfaces ddr2 mem ctlr (16b/32b) async emif/ nand/ smartmedia ata/ compact flash mmc/ sd/ sdio program/data storage watchdog timer pwm system general- purpose timer usb 2.0 phy vlynq emac with mdio connectivity hpi
contents tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com 1 digital media system-on-chip (dmsoc) ............ 1 6.1 parameter information .............................. 89 6.2 recommended clock and control signal transition 1.1 features .............................................. 1 behavior ............................................. 90 1.2 description ............................................ 2 6.3 power supplies ...................................... 90 1.3 functional block diagram ............................ 5 6.4 reset ............................................... 100 revision history ............................................... 7 6.5 external clock input from mxi/clkin pin ........ 103 2 device overview ......................................... 9 6.6 clock plls ......................................... 105 2.1 device characteristics ................................ 9 6.7 interrupts ........................................... 113 2.2 device compatibility ................................. 10 6.8 general-purpose input/output (gpio) ............. 120 2.3 arm subsystem .................................... 10 6.9 enhanced direct memory access (edma) 2.4 dsp subsystem ..................................... 14 controller ........................................... 123 2.5 memory map summary ............................. 19 6.10 external memory interface (emif) ................. 135 2.6 pin assignments .................................... 22 6.11 ata/cf ............................................ 143 2.7 terminal functions .................................. 27 6.12 mmc/sd/sdio ..................................... 156 2.8 device support ...................................... 59 6.13 video processing sub-system (vpss) overview . 159 3 device configurations ................................. 62 6.14 host-port interface (hpi) ........................... 182 3.1 system module registers ........................... 62 6.15 usb 2.0 ............................................ 185 3.2 power considerations ............................... 62 6.16 universal asynchronous receiver/transmitter 3.3 bootmode ........................................... 63 (uart) ............................................. 194 3.4 configurations at reset ............................. 67 6.17 serial peripheral interface (spi) ................... 197 3.5 configurations after reset .......................... 71 6.18 inter-integrated circuit (i2c) ....................... 201 3.6 emulation control ................................... 82 6.19 audio serial port (asp) ............................ 205 4 system interconnect ................................... 84 6.20 ethernet media access controller (emac) ........ 209 4.1 system interconnect block diagram ................ 85 6.21 management data input/output (mdio) ........... 216 5 device operating conditions ........................ 86 6.22 timer ............................................... 218 5.1 absolute maximum ratings over operating case 6.23 pulse width modulator (pwm) ..................... 220 temperature range 6.24 vlynq ............................................. 222 (unless otherwise noted) .......................... 86 6.25 ieee 1149.1 jtag ................................ 226 5.2 recommended operating conditions ............... 87 7 mechanical packaging and orderable 5.3 electrical characteristics over recommended information ............................................. 228 ranges of supply voltage and operating case temperature (unless otherwise noted) ............ 88 7.1 thermal data for zwt ............................. 228 6 peripheral and electrical specifications ........... 89 7.1.1 packaging information ............................. 228 contents 6 submit documentation feedback
revision history tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 note: page numbers for previous revisions may differ from page numbers in the current version. this data manual revision history highlights the technical changes made to the sprs283e device-specific data manual to make it an sprs283f revision. scope: applicable updates to the dm64x device family, specifically relating to the tms320dm6446 device, have been incorporated. the dm6446 now supports an extended temperature device with an extended temperature range of: -40 c to 105 c (a-513) added 513-mhz c64x?, 256.5-mhz arm926ej-s? device speeds for the new extended temperature device (a-513) updated the c64x+ megamodule revision, jtag bsdl_id, and jtag id register to include silicon revision 2.1 tms320dm6446 revision history see additions/modifications/deletions global added all extended temperature device-specific information where applicable updated/changed all hcs instances from "hcs" to " hcs"; hcs is an active low signal updated/changed all c_we instances from c_we or cwen or c_wen" to "c_we or cwe or c_we"; c_we is an active high signal section 2.4.2 section 2.4.2.4 , c64x+ cpu: dsp memory mapping updated/changed "... the level 1 program cache (l1 d) ..." to "... the level 1 program cache (l1 p) ..." [cleared documentation feedback issue] section 2.7 table 2-24 , ata/cf terminal functions: terminal functions updated/changed the table to include the hpi pin muxing functions section 2.8.2 figure 2-6 , device nomenclature: device and updated/changed the figure to include silicon revision 2.1 and extended temperature development-support tool nomenclature section 3.2.1 table 3-2 , chp_shrtsw register description: power configurations updated/changed the dsppwron bit description for "0" and "1" at reset section 3.5.6.4 table 3-21 , ata, emifa, and gpio pin multiplexing control: ata, emifa, uart1, updated/changed the "rdy/ bsy/ em_wait" column head to "em_wait/ iordy" and corrected the spi, and gpio pin column data [cleared documentation feedback issue] multiplexing added associated footnote section 3.5.6.10 table 3-33 , hpi and emifa/ata pin multiplexing: hpi and emifa/ata updated/changed the " hrdy/rdy /bsy/em_wait" column head to " hrdy/em_wait/ iordy" and pin multiplexing corrected the column data [cleared documentation feedback issue] submit documentation feedback revision history 7
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com tms320dm6446 revision history (continued) see additions/modifications/deletions section 6.10.1.2 table 6-35 , switching characteristics over recommended operating conditions for asynchronous emifa eelctrical memory cycles for emifa module: data/timing updated/changed the following parameter information: parameter no. 4 t su(emcsl-emoel) , output setup time, em_cs[5:2] low to em_oe low (ss = 0) max value from "(rs + 1) * e + 1.2" to "(rs + 1) * e + 1.4" ns parameter no. 5 t h(emoeh-emcsh) , output hold time, em_oe high to em_cs[5:2] high (ss = 0) min value from "(rh + 1) * e - 1.3" to "(rh + 1) * e - 2.1" ns parameter no. 5 t h(emoeh-emcsh) , output hold time, em_oe high to em_cs[5:2] high (ss = 1) min value from " -1.4" to " -2.2" ns parameter no. 7 t h(emoeh-embaiv) , output hold time, em_oe high to em_ba[1:0] invalid min value from "(rh + 1) * e - 2.1" to "(rh + 1) * e - 2.3" ns parameter no. 9 t h(emoeh-emaiv) , output hold time, em_oe high to em_a[21:0] invalid min value from "(rh + 1) * e - 2.4" to "(rh + 1) * e - 2.6" ns parameter no. 17 t h(emweh-emcsh) , output hold time, em_we high to em_cs[5:2] high (ss = 0) min value from "(wh + 1) * e - 1.4" to "(wh + 1) * e - 2.1" ns parameter no. 17 t h(emweh-emcsh) , output hold time, em_we high to em_cs[5:2] high (ss = 1) min value from " -1.4" to " -2.1" ns parameter no. 21 t h(emweh-embaiv) , output hold time, em_we high to em_ba[1:0] invalid min value from "(wh + 1) * e - 2.2" to "(wh + 1) * e - 2.3" ns parameter no. 23 t h(emweh-emaiv) , output hold time, em_we high to em_a[21:0] invalid min value from "(wh + 1) * e - 2.5" to "(wh + 1) * e - 2.6" ns section 6.11.2.4 table 6-42 , timing requirements for hddir: ata/cf hddir timing added a new max value of "2.1" ns to no. 1 t c ,cycle time, ata_cs[1:0] to hddir low section 6.13 section 6.13 , video processing sub-system (vpss) overview: video processing added "alternatively, if the vpbe input clock ..." sentence to the "to ensure the color sub-carrier sub-system (vpss) frequency ..." paragraph overview section 6.13.2.3 table 6-62 , switching characteristics over recommended operating conditions for vpbe control and vpbe electrical data output with respect to pclk and vpbeclk: data/timing split the min/max values for the a-513 and -594 speed devices updated/changed the a-513 device max value of parameter no. 13, t d(pclk-vdatav) , delay time, pclk edge to vdata valid from " 13.3" to " 13.6" ns updated/changed the a-513 device max value of parameter no. 31, t d(vpbeclk-vdatav) , delay time, vpbeclk rising edge to vdata valid from " 13.3" to " 13.6" ns table 6-63 , switching characteristics over recommended operating conditions for vpbe control and data output with respect to vclk: added mode column updated/changed the following parameters: ? parameter no. 23 t d(vclk-vctlv) , split into vclk positive/negative; regardless of mode ? parameter no. 24 t d(vclkl-vctliv) , split into vclk positive/negative; regardless of mode ? parameter no. 25 t d(vclk-vdatav) , split into vclk positive/negative; regardless of mode ? parameter no. 26 t d(vclkl-vdataiv) , split into vclk positive/negative; separated by mode: rgb or ycc added associated mode footnote section 6.13.2.4 updated/changedfigure 6-54 , typical output circuit for ntsc/pal video from dacs dac electrical data/timing section 6.15.2 table 6-71 , usb2.0 reigster descriptions: usb2.0 peripheral added core registers header row register description(s) deleted the 0x01c6 441f second address fifosize row updated/changed the registers for control and status register for endpoint 0, 1, 2, 3, and 4 regions section 6.15.3 table 6-72 , switching characteristics over recommended operating conditions for usb2.0: usb2.0 electrical updated/changed parameter no. 11 usb_r1 "unit" value from " w " to " kw " data/timing added figure 6-60 , usb reference resistor routing ( new) revision history 8 submit documentation feedback
2 device overview 2.1 device characteristics tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 tms320dm6446 revision history (continued) see additions/modifications/deletions section 6.20.1 table 6-90 , ethernet mac (emac): emac peripheral updated/changed register name of 0x01c8 01d0, macsrcaddrlo from "... (lower 32-bits)" register description(s) to "... (lower 16-bits)" [cleared documentation feedback issue] updated/changed register name of 0x01c8 01d4, macsrcaddrhi from "... (lower 16-bits)" to "... (lower 32-bits)" [cleared documentation feedback issue] table 2-1 provides an overview of the tms320dm6446 soc. the table shows significant features of the device, including the capacity of on-chip ram, peripherals, internal peripheral bus frequency relative to the c64x+ dsp, and the package type with pin count. table 2-1. characteristics of the processor hardware features dm6446 ddr2 memory controller ddr2 (16/32-bit bus width) asynchronous (8/16-bit bus width) ram, flash asynchronous emif (emifa) (nor, nand) compact flash flash cards mmc/sd with secure data input/output (sdio) smartmedia/xd 64 independent channels edma 8 qdma channels 2 64-bit general purpose (each configurable as 2 timers separate 32-bit timers) 1 64-bit watchdog uart 3 (one with rts and cts flow control) peripherals spi 1 (supports 2 slave devices) not all peripherals pins are available at the same time i 2 c 1 (master/slave) (for more detail, see the audio serial port [asp] 1 device configurations section). 10/100 ethernet mac with management data 1 input/output vlynq 1 hpi 1 (16-bit multiplexed address/data) general-purpose input/output port up to 71 pwm 3 outputs ata/cf 1 (ata/atapi-6) 1 input (vpfe) configurable video ports 1 output (vpbe) high speed device usb 2.0 high speed host size (bytes) 160kb ram, 8kb rom dsp 32kb l1 program (l1p)/cache (up to 32kb) 80kb l1 data (l1d)/cache (up to 32kb) 64kb unified mapped ram/cache (l2) on-chip memory organization arm 16kb i-cache 8kb d-cache 16kb ram 8kb rom submit documentation feedback device overview 9
2.2 device compatibility 2.3 arm subsystem tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-1. characteristics of the processor (continued) hardware features dm6446 cpu id + cpu rev id control status register (csr.[31:16]) 0x1000 c64x+ megamodule revision id register (mm_revid[15:0]) 0x0000 (silicon revision 1.3 and earlier) revision (address location: 0x0181 2000) 0x0003 (silicon revision 2.1) jtagid register 0x0b70 002f (silicon revision 1.3 and earlier) jtag bsdl_id (address location: 0x01c4 0028) 0x1b70 002f (silicon revision 2.1) dsp 594 mhz dm6446 - 594 arm 297 mhz cpu frequency mhz dsp 513 mhz dm6446a - 513 arm 256.5 mhz dsp 1.68 ns dm6446 - 594 arm 3.37 ns cycle time ns dsp 1.95 ns dm6446a - 513 arm 3.90 ns core (v) 1.2 v (-594, a-513) voltage i/o (v) 1.8 v, 3.3 v (-594, a-513) clkin frequency multiplier pll options x1 (bypass), x22 (-594, a-513) (27 mhz reference) bga package 16 x 16 mm 361-pin bga (zwt) process technology m m 0.09 m m product preview (pp), product status (1) advance information (ai), pd or production data (pd) (1) production data information is current as of publication date. products conform to specifications per the terms of texas instruments standard warranty. production processing does not necessarily include testing of all parameters. the arm926ej-s risc cpu is compatible with other arm9 cpus from arm holdings plc. the c64x+ dsp core is code-compatible with the c6000? dsp platform and supports features of the c64x dsp family. the arm subsystem is designed to give the arm926ej-s (arm9) master control of the device. in general, the arm is responsible for configuration and control of the device; including the dsp subsystem, the vpss subsystem, and a majority of the peripherals and external memories. the arm subsystem includes the following features: arm926ej-s risc processor armv5tej (32/16-bit) instruction set little endian co-processor 15 (cp15) mmu 16kb instruction cache 8kb data cache write buffer 16kb internal ram (32-bit wide access) 8kb internal rom (arm bootloader for non-emifa boot options) embedded trace module and embedded trace buffer (etm/etb) arm interrupt controller device overview 10 submit documentation feedback
2.3.1 arm926ej-s risc cpu 2.3.2 cp15 2.3.3 mmu tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 pll controller power and sleep controller (psc) system module the arm subsystem integrates the arm926ej-s processor. the arm926ej-s processor is a member of arm9 family of general-purpose microprocessors. this processor is targeted at multi-tasking applications where full memory management, high performance, low die size, and low power are all important. the arm926ej-s processor supports the 32-bit arm and 16 bit thumb instruction sets, enabling the user to trade off between high performance and high code density. specifically, the arm926ej-s processor supports the armv5tej instruction set, which includes features for efficient execution of java byte codes, providing java performance similar to just in time (jit) java interpreter, but without associated code overhead. the arm926ej-s processor supports the arm debug architecture and includes logic to assist in both hardware and software debug. the arm926ej-s processor has a harvard architecture and provides a complete high performance subsystem, including: arm926ej -s integer core cp15 system control coprocessor memory management unit (mmu) separate instruction and data caches write buffer separate instruction and data tightly-coupled memories (tcms) [internal ram] interfaces separate instruction and data ahb bus interfaces embedded trace module and embedded trace buffer (etm/etb) for more complete details on the arm9, refer to the arm926ej-s technical reference manual, available at http://www.arm.com the arm926ej-s system control coprocessor (cp15) is used to configure and control instruction and data caches, tightly-coupled memories (tcms), memory management unit (mmu), and other arm subsystem functions. the cp15 registers are programmed using the mrc and mcr arm instructions, when the arm in a privileged mode such as supervisor or system mode. the arm926ej-s mmu provides virtual memory features required by operating systems such as linux?, windows? ce, ultron?, threadx?, etc. a single set of two level page tables stored in main memory is used to control the address translation, permission checks and memory region attributes for both data and instruction accesses. the mmu uses a single unified translation lookaside buffer (tlb) to cache the information held in the page tables. the mmu features are: standard arm architecture v4 and v5 mmu mapping sizes, domains and access protection scheme. mapping sizes are: ? 1mb (sections) ? 64kb (large pages) ? 4kb (small pages) ? 1kb (tiny pages) access permissions for large pages and small pages can be specified separately for each quarter of the page (subpage permissions) hardware page table walks invalidate entire tlb, using cp15 register 8 submit documentation feedback device overview 11
2.3.4 caches and write buffer 2.3.5 tightly coupled memory (tcm) 2.3.6 advanced high-performance bus (ahb) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com invalidate tlb entry, selected by mva, using cp15 register 8 lockdown of tlb entries, using cp15 register 10 the size of the instruction cache is 16kb, data cache is 8kb. additionally, the caches have the following features: virtual index, virtual tag, and addressed using the modified virtual address (mva) four-way set associative, with a cache line length of eight words per line (32-bytes per line) and with two dirty bits in the dcache dcache supports write-through and write-back (or copy back) cache operation, selected by memory region using the c and b bits in the mmu translation tables. critical-word first cache refilling cache lockdown registers enable control over which cache ways are used for allocation on a line fill, providing a mechanism for both lockdown, and controlling cache corruption dcache stores the physical address tag (pa tag) corresponding to each dcache entry in the tag ram for use during the cache line write-backs, in addition to the virtual address tag stored in the tag ram. this means that the mmu is not involved in dcache write-back operations, removing the possibility of tlb misses related to the write-back address. cache maintenance operations provide efficient invalidation of, the entire dcache or icache, regions of the dcache or icache, and regions of virtual memory. the write buffer is used for all writes to a noncachable bufferable region, write-through region and write misses to a write-back region. a separate buffer is incorporated in the dcache for holding write-back for cache line evictions or cleaning of dirty cache lines. the main write buffer has 16-word data buffer and a four-address buffer. the dcache write-back has eight data word entries and a single address entry. arm internal ram is provided for storing real-time and performance-critical code/data and the interrupt vector table. arm internal rom enables non-emifa boot options, such as nand and uart. the ram and rom memories interfaced to the arm926ej-s via the tightly coupled memory interface that provides for separate instruction and data bus connections. since the arm tcm does not allow instructions on the d-tcm bus or data on the i-tcm bus, an arbiter is included so that both data and instructions can be stored in the internal ram/rom. the arbiter also allows accesses to the ram/rom from extra-arm sources (e.g., edma or other masters). the arm926ej-s has built-in dma support for direct accesses to the arm internal memory from a non-arm master. because of the time-critical nature of the tcm link to the arm internal memory, all accesses from non-arm devices are treated as dma transfers. instruction and data accesses are differentiated via accessing different memory map regions, with the instruction region from 0x0000 through 0x7fff and data from 0x8000 through 0xffff. the instruction region at 0x0000 and data region at 0x8000 map to the same physical 16kb tcm ram. placing the instruction region at 0x0000 is necessary to allow the arm interrupt vector table to be placed at 0x0000, as required by the arm architecture. the internal 16-kb ram is split into two physical banks of 8kb each, which allows simultaneous instruction and data accesses to be accomplished if the code and data are in separate banks. the arm subsystem uses the ahb port of the arm926ej-s to connect the arm to the config bus and the external memories. arbiters are employed to arbitrate access to the separate d-ahb and i-ahb by the config bus and the external memories bus. device overview 12 submit documentation feedback
2.3.7 embedded trace macrocell (etm) and embedded trace buffer (etb) 2.3.8 arm memory mapping 2.3.8.1 arm internal memories 2.3.8.2 external memories 2.3.8.3 dsp memories 2.3.8.4 arm-dsp integration tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 to support real-time trace, the arm926ej-s processor provides an interface to enable connection of an embedded trace macrocell (etm). the arm926es-j subsystem in the dm6446 also includes the embedded trace buffer (etb). the etm consists of two parts: trace port provides real-time trace capability for the arm9. triggering facilities provide trigger resources, which include address and data comparators, counter, and sequencers. the dm6446 trace port is not pinned out and is instead only connected to the embedded trace buffer. the etb has a 4kb buffer memory. etb enabled debug tools are required to read/interpret the captured trace data. the arm memory map is shown in section 2.5 , memory map summary of this document. the arm has access to memories shown in the following sections. the arm has access to the following arm internal memories: 16kb arm internal ram on tcm interface, logically separated into two 8kb pages to allow simultaneous access on any given cycle if there are separate accesses for code (i-tcm bus) and data (d-tcm) to the different memory regions. 8kb arm internal rom the arm has access to the following external memories: ddr2 synchronous dram asynchronous emif / nor flash / nand flash ata/cf flash card devices: ? mmc/sd with sdio ? xd ? smartmedia the arm has access to the following dsp memories: l2 ram l1p ram l1d ram dm6446 arm and dsp integration features are as follows: dsp visibility from arm?s memory map, see section 2.5 , memory map summary, for details boot modes for dsp - see device configurations section, section 3.3.3 , dsp boot, for details arm control of dsp boot / reset - see device configurations section, section 3.3.2 , arm boot, for details arm control of dsp isolation and powerdown / powerup - see section 3 , device configurations, for details arm & dsp interrupts - see section 6.7.1 , arm cpu interrupts, and section 6.7.2 , dsp interrupts, for details submit documentation feedback device overview 13
2.3.9 peripherals 2.3.10 pll controller (pllc) 2.3.11 power and sleep controller (psc) 2.3.12 arm interrupt controller (aintc) 2.3.13 system module 2.3.14 power management 2.4 dsp subsystem tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the arm9 has access to all of the peripherals on the dm6446 device with the exception of the vicp. the arm subsystem includes the pll controller. the pll controller contains a set of registers for configuring dm6446?s two internal plls (pll1 and pll2). the pll controller provides the following configuration and control: pll bypass mode set pll multiplier parameters set pll divider parameters pll power down oscillator power down the plls are briefly described in this document in the clocking section. for more detailed information on the plls and pll controller register descriptions, see section 2.8.3 , documentation support, of this document for the tms320dm644x arm subsystem reference guide (literature number sprue14). the arm subsystem includes the power and sleep controller (psc). through register settings accessible by the arm9, the psc provides two levels of power savings: peripheral/module clock gating and power domain shut-off. brief details on the psc are given in section 6.3 , power supplies. for more detailed information and complete register descriptions for the psc, see section 2.8.3 , documentation support, for the tms320dm644x arm subsystem reference guide (literature number sprue14). the arm interrupt controller (aintc) accepts device interrupts and maps them to either the arm?s irq (interrupt request) or fiq (fast interrupt request). the arm interrupt controller is briefly described in this document in the interrupts section. for detailed information on the arm interrupt controller, see section 2.8.3 , documentation support for the arm subsystem guide. the arm subsystem includes the system module. the system module consists of a set of registers for configuring and controlling a variety of system functions. for details and register descriptions for the system module, see section 3 , device configurations and see section 2.8.3 , documentation support, for the tms320dm644x arm subsystem reference guide (literature number sprue14). dm6446 has several means of managing power consumption. there is extensive use of clock gating, which reduces the power used by global device clocks and individual peripheral clocks. clock management can be utilized to reduce clock frequencies in order to reduce switching power. for more details on power management techniques, see section 3 , device configurations, section 6 , peripheral and electrical specifications, and see section 2.8.3 , documentation support, for the tms320dm644x arm subsystem reference guide (literature number sprue14). dm6446 gives the programmer full flexibility to use any and all of the previously mentioned capabilities to customize an optimal power management strategy. several typical power management scenarios are described in the following sections. the dsp subsystem includes the following features: c64x+ dsp cpu 32kb l1 program (l1p)/cache (up to 32kb) device overview 14 submit documentation feedback
2.4.1 c64x+ dsp cpu description tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 80kb l1 data (l1d)/cache (up to 32kb) 64kb unified mapped ram/cache (l2) little endian the c64x+ central processing unit (cpu) consists of eight functional units, two register files, and two data paths as shown in figure 2-1 . the two general-purpose register files (a and b) each contain 32 32-bit registers for a total of 64 registers. the general-purpose registers can be used for data or can be data address pointers. the data types supported include packed 8-bit data, packed 16-bit data, 32-bit data, 40-bit data, and 64-bit data. values larger than 32 bits, such as 40-bit-long or 64-bit-long values are stored in register pairs, with the 32 lsbs of data placed in an even register and the remaining 8 or 32 msbs in the next upper register (which is always an odd-numbered register). the eight functional units (.m1, .l1, .d1, .s1, .m2, .l2, .d2, and .s2) are each capable of executing one instruction every clock cycle. the .m functional units perform all multiply operations. the .s and .l units perform a general set of arithmetic, logical, and branch functions. the .d units primarily load data from memory to the register file and store results from the register file into memory. the c64x+ cpu extends the performance of the c64x core through enhancements and new features. each c64x+ .m unit can perform one of the following each clock cycle: one 32 x 32 bit multiply, one 16 x 32 bit multiply, two 16 x 16 bit multiplies, two 16 x 32 bit multiplies, two 16 x 16 bit multiplies with add/subtract capabilities, four 8 x 8 bit multiplies, four 8 x 8 bit multiplies with add operations, and four 16 x 16 multiplies with add/subtract capabilities (including a complex multiply). there is also support for galois field multiplication for 8-bit and 32-bit data. many communications algorithms such as ffts and modems require complex multiplication. the complex multiply (cmpy) instruction takes for 16-bit inputs and produces a 32-bit real and a 32-bit imaginary output. there are also complex multiplies with rounding capability that produces one 32-bit packed output that contain 16-bit real and 16-bit imaginary values. the 32 x 32 bit multiply instructions provide the extended precision necessary for audio and other high-precision algorithms on a variety of signed and unsigned 32-bit data types. the .l or (arithmetic logic unit) now incorporates the ability to do parallel add/subtract operations on a pair of common inputs. versions of this instruction exist to work on 32-bit data or on pairs of 16-bit data performing dual 16-bit add and subtracts in parallel. there are also saturated forms of these instructions. the c64x+ core enhances the .s unit in several ways. in the c64x core, dual 16-bit min2 and max2 comparisons were only available on the .l units. on the c64x+ core they are also available on the .s unit which increases the performance of algorithms that do searching and sorting. finally, to increase data packing and unpacking throughput, the .s unit allows sustained high performance for the quad 8-bit/16-bit and dual 16-bit instructions. unpack instructions prepare 8-bit data for parallel 16-bit operations. pack instructions return parallel results to output precision including saturation support. other new features include: sploop - a small instruction buffer in the cpu that aids in creation of software pipelining loops where multiple iterations of a loop are executed in parallel. the sploop buffer reduces the code size associated with software pipelining. furthermore, loops in the sploop buffer are fully interruptible. compact instructions - the native instruction size for the c6000 devices is 32 bits. many common instructions such as mpy, and, or, add, and sub can be expressed as 16 bits if the c64x+ compiler can restrict the code to use certain registers in the register file. this compression is performed by the code generation tools. instruction set enhancement - as noted above, there are new instructions such as 32-bit multiplications, complex multiplications, packing, sorting, bit manipulation, and 32-bit galois field multiplication. exceptions handling - intended to aid the programmer in isolating bugs. the c64x+ cpu is able to detect and respond to exceptions, both from internally detected sources (such as illegal op-codes) and from system events (such as a watchdog time expiration). submit documentation feedback device overview 15
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com privilege - defines user and supervisor modes of operation, allowing the operating system to give a basic level of protection to sensitive resources. local memory is divided into multiple pages, each with read, write, and execute permissions. time-stamp counter - primarily targeted for real-time operating system (rtos) robustness, a free-running time-stamp counter is implemented in the cpu which is not sensitive to system stalls. for more details on the c64x+ cpu and its enhancements over the c64x architecture, see the following documents: tms320c64x/c64x+ dsp cpu and instruction set reference guide (literature number spru732) tms320c64x technical overview (literature number spru395) 16 device overview submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 2-1. tms320c64x+? cpu (dsp core) data paths submit documentation feedback device overview 17 src2 src2 .d1 .m1 .s1 .l1 long src odd dst src2 src1 src1src1 src1 even dsteven dst odd dst dst1 dst src2 src2 src2 long src da1 st1bld1b ld1a st1a data path a odd register file a (a1, a3, a5...a31) odd register file b (b1, b3, b5...b31) .d2 src1 dst src2 da2 ld2a ld2b src2 .m2 src1 dst1 .s2 src1 even dst long src odd dst st2a st2b long src .l2 even dst odd dst src1 data path b control register 32 msb 32 lsb dst2 (a) 32 msb 32 lsb 2x1x 32 lsb 32 msb 32 lsb 32 msb dst2 (b) (b) (a) 8 8 8 8 32 32 32 32 (c) (c) even register file a (a0, a2, a4...a30) even register file b (b0, b2, b4...b30) (d) (d) (d) (d) a. on .m unit, dst2 is 32 msb. b. on .m unit, dst1 is 32 lsb. c. on c64x cpu .m unit, src2 is 32 bits; on c64x+ cpu .m unit, src2 is 64 bits. d. on .l and .s units, odd dst connects to odd register files and even dst connects to even register files.
2.4.2 dsp memory mapping 2.4.2.1 arm internal memories 2.4.2.2 external memories 2.4.2.3 dsp internal memories 2.4.2.4 c64x+ cpu tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the dsp memory map is shown in section 2.5 . configuration of the control registers for ddr2, emifa, and arm internal ram is supported by the arm. the dsp has access to memories shown in the following sections. the dsp has access to the 16kb arm internal ram on the arm d-tcm interface (i.e., data only). the dsp has access to the following external memories: ddr2 synchronous dram asynchronous emif / nor flash the dsp has access to the following dsp memories: l2 ram l1p ram l1d ram the c64x+ core uses a two-level cache-based architecture. the level 1 program cache (l1p) is 32 kb direct mapped cache and the level 1 data cache (l1d) is 80 kb 2-way set associated cache. the level 2 memory/cache (l2) consists of a 64 kb memory space that is shared between program and data space. l2 memory can be configured as mapped memory, cache, or a combination of both. table 2-2 shows a memory map of the c64x+ cpu cache registers for the device. table 2-2. c64x+ cache registers hex address range register acronym description 0x0184 0000 l2cfg l2 cache configuration register 0x0184 0020 l1pcfg l1p size cache configuration register 0x0184 0024 l1pcc l1p freeze mode cache configuration register 0x0184 0040 l1dcfg l1d size cache configuration register 0x0184 0044 l1dcc l1d freeze mode cache configuration register 0x0184 0048 - 0x0184 0ffc - reserved 0x0184 1000 edmaweight l2 edma access control register 0x0184 1004 - 0x0184 1ffc - reserved 0x0184 2000 l2alloc0 l2 allocation register 0 0x0184 2004 l2alloc1 l2 allocation register 1 0x0184 2008 l2alloc2 l2 allocation register 2 0x0184 200c l2alloc3 l2 allocation register 3 0x0184 2010 - 0x0184 3fff - reserved 0x0184 4000 l2wbar l2 writeback base address register 0x0184 4004 l2wwc l2 writeback word count register 0x0184 4010 l2wibar l2 writeback invalidate base address register 0x0184 4014 l2wiwc l2 writeback invalidate word count register 0x0184 4018 l2ibar l2 invalidate base address register 0x0184 401c l2iwc l2 invalidate word count register 0x0184 4020 l1pibar l1p invalidate base address register 0x0184 4024 l1piwc l1p invalidate word count register 0x0184 4030 l1dwibar l1d writeback invalidate base address register device overview 18 submit documentation feedback
2.4.3 peripherals 2.4.4 dsp interrupt controller 2.5 memory map summary tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-2. c64x+ cache registers (continued) hex address range register acronym description 0x0184 4034 l1dwiwc l1d writeback invalidate word count register 0x0184 4038 - reserved 0x0184 4040 l1dwbar l1d block writeback 0x0184 4044 l1dwwc l1d block writeback 0x0184 4048 l1dibar l1d invalidate base address register 0x0184 404c l1diwc l1d invalidate word count register 0x0184 4050 - 0x0184 4fff - reserved 0x0184 5000 l2wb l2 writeback all register 0x0184 5004 l2wbinv l2 writeback invalidate all register 0x0184 5008 l2inv l2 global invalidate without writeback 0x0184 500c - 0x0184 5027 - reserved 0x0184 5028 l1pinv l1p global invalidate 0x0184 502c - 0x0184 5039 - reserved 0x0184 5040 l1dwb l1d global writeback 0x0184 5044 l1dwbinv l1d global writeback with invalidate 0x0184 5048 l1dinv l1d global invalidate without writeback 0x0184 8000 - 0x0184 8004 mar0 - mar1 reserved 0x0000 0000 - 0x01ff ffff 0x0184 8008 - 0x0184 8024 mar2 - mar9 memory attribute registers for emifa 0x0200 0000 - 0x09ff ffff 0x0184 8028 - 0x0184 802c mar10 - mar11 reserved 0x0a00 0000 - 0x0bff ffff 0x0184 8030 - 0x0184 803c mar12 - mar15 memory attribute registers for vlynq 0x0c00 0000 - 0x0fff ffff 0x0184 8040 - 0x0184 8104 mar16 - mar65 reserved 0x1000 0000 - 0x41ff ffff memory attribute registers for emifa/vlynq shadow 0x4200 0000 - 0x0184 8108 - 0x0184 813c mar66 - mar79 0x4fff ffff 0x0184 8140- 0x0184 81fc mar80 - mar127 reserved 0x5000 0000 - 0x7fff ffff 0x0184 8200 - 0x0184 823c mar128 - mar143 memory attribute registers for ddr2 0x8000 0000 - 0x8fff ffff 0x0184 8240 - 0x0184 83fc mar144 - mar255 reserved 0x9000 0000 - 0xffff ffff the dsp has controllability for the following peripherals: vicp edma asp 2 timers (timer 0 and timer1) that can each be configured as 1 64-bit or 2 32-bit timers the dsp interrupt controller accepts device interrupts and appropriately maps them to the dsp?s available interrupts. the dsp interrupt controller is briefly described in this document in the interrupts section. for more detailed on the dsp interrupt controller, see the documentation support section of this document for the c64x+ cpu user's guide. table 2-3 shows the memory map address ranges of the device. table 2-4 depicts the expanded map of the configuration space (0x0180 0000 through 0x0fff ffff). the device has multiple on-chip memories associated with its two processors and various subsystems. to help simplify software development a unified memory map is used where possible to maintain a consistent view of device resources across all bus masters. submit documentation feedback device overview 19
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-3. memory map summary start end size edma/ arm c64x+ hpi vpss address address (bytes) peripheral 0x0000 0000 0x0000 1fff 8k arm ram0 (instruction) 0x0000 2000 0x0000 3fff 8k arm ram1 (instruction) reserved reserved 0x0000 4000 0x0000 5fff 8k arm rom (instruction) 0x0000 6000 0x0000 7fff 8k reserved 0x0000 8000 0x0000 9fff 8k arm ram0 (data) reserved arm ram0 arm ram0 0x0000 a000 0x0000 bfff 8k arm ram1 (data) arm ram1 arm ram1 0x0000 c000 0x0000 dfff 8k arm rom (data) arm rom arm rom 0x0000 e000 0x0000 ffff 8k 0x0001 0000 0x000f ffff 960k 0x0010 0000 0x001f ffff 1m vicp 0x0020 0000 0x007f ffff 6m reserved 0x0080 0000 0x0080 ffff 64k l2 ram/cache 0x0081 0000 0x00e0 7fff 6112k reserved reserved 0x00e0 8000 0x00e0 ffff 32k l1p cache 0x00e1 0000 0x00f0 3fff 976k reserved reserved reserved 0x00f0 4000 0x00f0 ffff 48k l1d ram 0x00f1 0000 0x00f1 7fff 32k l1d cache 0x00f1 8000 0x017f ffff 9120k reserved 0x0180 0000 0x01bb ffff 3840k 0x01bc 0000 0x01bc 0fff 4k arm etb memory 0x01bc 1000 0x01bc 17ff 2k arm etb registers cfg space 0x01bc 1800 0x01bc 18ff 256 arm icecrusher 0x01bc 1900 0x01bf ffff 255744 reserved reserved 0x01c0 0000 0x01ff ffff 4m cfg bus peripherals cfg bus peripherals cfg bus peripherals cfg bus peripherals (1) 0x0200 0000 0x09ff ffff 128m emifa (code and data) emifa (data) emifa (data) 0x0a00 0000 0x0bff ffff 32m reserved reserved 0x0c00 0000 0x0fff ffff 64m vlynq (remote) reserved vlynq (remote) 0x1000 0000 0x1000 7fff 32k reserved 0x1000 8000 0x1000 9fff 8k arm ram0 arm ram0 0x1000 a000 0x1000 bfff 8k arm ram1 arm ram1 0x1000 c000 0x1000 dfff 8k arm rom arm rom reserved 0x1000 e000 0x1000 ffff 8k 0x1001 0000 0x110f ffff 17344k reserved reserved reserved 0x1110 0000 0x111f ffff 1m 0x1120 0000 0x117f ffff 6m 0x1180 0000 0x1180 ffff 64k l2 ram/cache l2 ram/cache l2 ram/cache 0x1181 0000 0x11e0 7fff 6112k reserved reserved reserved 0x11e0 8000 0x11e0 ffff 32k l1p cache l1p cache l1p cache 0x11e1 0000 0x11f0 3fff 976k reserved reserved reserved 0x11f0 4000 0x11f0 ffff 48k l1d ram l1d ram l1d ram 0x11f1 0000 0x11f1 7fff 32k l1d ram/cache l1d ram/cache l1d ram/cache 0x11f1 8000 0x1fff ffff 241m- reserved reserved reserved 32k 0x2000 0000 0x2000 7fff 32k ddr2 control registers ddr2 control registers ddr2 control registers ddr2 control registers 0x2000 8000 0x41ff ffff 544m-32k reserved reserved reserved 0x4200 0000 (2) 0x4fff ffff 224m reserved emifa/vlynq shadow emifa/vlynq shadow reserved 0x5000 0000 0x7fff ffff 768m reserved reserved reserved 0x8000 0000 0x8fff ffff 256m ddr2 ddr2 ddr2 ddr2 ddr2 0x9000 0000 0xffff ffff 1792m reserved reserved reserved reserved reserved (1) hpi's access to the configuration bus peripherals is limited to the power and sleep controller registers, pll1 and pll2 registers, and hpi configuration registers. (2) emifa shadow memory started a 0x4200 0000 is physically the same memory as location 0x0200 0000. memory range 0x200 0000 through 0x09ff ffff should only be used by c64x+ for data accesses. memory range 0x4200 0000 through 0x4fff ffff can be used by c64x+ for both code execution and data accesses. device overview 20 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-4. configuration memory map summary start end size arm/edma c64x+ address address (bytes) 0x0180 0000 0x0180 ffff 64k c64x+ interrupt controller 0x0181 0000 0x0181 0fff 4k c64x+ powerdown controller 0x0181 1000 0x0181 1fff 4k c64x+ security id 0x0181 2000 0x0181 2fff 4k c64x+ revision id 0x0182 0000 0x0182 ffff 64k reserved c64x+ emc 0x0183 0000 0x0183 ffff 64k reserved 0x0184 0000 0x0184 ffff 64k c64x+ memory system 0x0185 0000 0x0187 ffff 192k reserved 0x0188 0000 0x01bb ffff 3328k reserved 0x01bc 0000 0x01bc 00ff 256 reserved 0x01bc 0100 0x01bc 01ff 256 arm etb memory pin manager and trace 0x01bc 0200 0x01bc 0fff 3.5k 0x01bc 1000 0x01bc 17ff 2k arm etb registers reserved 0x01bc 1800 0x01bc 18ff 256 arm ice crusher 0x01bc 1900 0x01bf ffff 255744 reserved 0x01c0 0000 0x01c0 ffff 64k edma cc edma cc 0x01c1 0000 0x01c1 03ff 1k edma tc0 edma tc0 0x01c1 0400 0x01c1 07ff 1k edma tc1 edma tc1 0x01c1 8800 0x01c1 9fff 6k reserved 0x01c1 a000 0x01c1 ffff 24k 0x01c2 0000 0x01c2 03ff 1k uart0 0x01c2 0400 0x01c2 07ff 1k uart1 reserved 0x01c2 0800 0x01c2 0bff 1k uart2 0x01c2 0c00 0x01c2 0fff 1k reserved 0x01c2 1000 0x01c2 13ff 1k i2c 0x01c2 1400 0x01c2 17ff 1k timer0 timer0 0x01c2 1800 0x01c2 1bff 1k timer1 timer1 0x01c2 1c00 0x01c2 1fff 1k timer2 (watchdog) 0x01c2 2000 0x01c2 23ff 1k pwm0 0x01c2 2400 0x01c2 27ff 1k pwm1 reserved 0x01c2 2800 0x01c2 2bff 1k pwm2 0x01c2 2c00 0x01c3 ffff 117k reserved 0x01c4 0000 0x01c4 07ff 2k system module system module 0x01c4 0800 0x01c4 0bff 1k pll controller 1 reserved 0x01c4 0c00 0x01c4 0fff 1k pll controller 2 0x01c4 1000 0x01c4 1fff 4k power and sleep controller power and sleep controller 0x01c4 2000 0x01c4 202f 48 reserved reserved 0x01c4 2030 0x01c4 2033 4 ddr2 vtp reg ddr2 vtp reg submit documentation feedback device overview 21
2.6 pin assignments 2.6.1 pin map (bottom view) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-4. configuration memory map summary (continued) start end size arm/edma c64x+ address address (bytes) 0x01c4 2034 0x01c4 23ff 1k - 52 reserved 0x01c4 2400 0x01c4 7fff 23k 0x01c4 8000 0x01c4 83ff 1k arm interrupt controller 0x01c4 8400 0x01c5 ffff 95k reserved 0x01c6 0000 0x01c6 3fff 16k reserved 0x01c6 4000 0x01c6 5fff 8k usb2.0 registers / ram 0x01c6 6000 0x01c6 67ff 2k ata/cf 0x01c6 6800 0x01c6 6fff 2k spi 0x01c6 7000 0x01c6 77ff 2k gpio 0x01c6 7800 0x01c6 7fff 2k hpi hpi 0x01c6 8000 0x01c6 ffff 32k reserved 0x01c7 0000 0x01c7 3fff 16k vpss registers 0x01c7 4000 0x01c7 ffff 48k reserved 0x01c8 0000 0x01c8 0fff 4k emac control registers 0x01c8 1000 0x01c8 1fff 4k emac control module registers reserved 0x01c8 2000 0x01c8 3fff 8k emac control module ram 0x01c8 4000 0x01c8 47ff 2k mdio control registers 0x01c8 4800 0x01c8 4fff 2k reserved 0x01c8 5000 0x01cb ffff 236k 0x01cc 0000 0x01cd ffff 128k vicp vicp 0x01ce 0000 0x01cf ffff 128k reserved 0x01d0 0000 0x01df ffff 1m reserved 0x01e0 0000 0x01e0 0fff 4k emifa control 0x01e0 1000 0x01e0 1fff 4k vlynq control registers 0x01e0 2000 0x01e0 3fff 8k asp asp 0x01e0 4000 0x01e0 ffff 48k reserved 0x01e1 0000 0x01e1 ffff 64k mmc/sd/sdio reserved 0x01e2 0000 0x01e3 ffff 128k reserved 0x01e4 0000 0x01ff ffff 1792k 0x0200 0000 0x03ff ffff 32m emifa data/code (cs2) emifa data (cs2) 0x0400 0000 0x05ff ffff 32m emifa data/code (cs3) emifa data (cs3) 0x0600 0000 0x07ff ffff 32m emifa data/code (cs4) emifa data (cs4) 0x0800 0000 0x09ff ffff 32m emifa data/code (cs5) emifa data (cs5) 0x0a00 0000 0x0bff ffff 32m reserved reserved 0x0c00 0000 0x0fff ffff 64m vlynq (remote) extensive use of pin multiplexing is used to accommodate the largest number of peripheral functions in the smallest possible package. pin multiplexing is controlled using a combination of hardware configuration at device reset and software programmable register settings. for more information on pin muxing, see section 3.5.2 , multiplexed pin configurations, of this document. figure 2-2 through figure 2-5 show the bottom view of the package pin assignments in four quadrants (a, b, c, and d). device overview 22 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 2-2. pin map [quadrant a] submit documentation feedback device overview 23 w v u t r p nm l k 10 9 8 7 6 5 4 3 2 1 10 9 8 7 6 5 4 3 2 1 ddr_d[1] dv ddr2 em_a[4]/ gpio27 clk_out0/ gpio48 mxi/clkin em_a[5]/ gpio26 mxv ss pllv dd18 rsv24 em_a[6]/ gpio25 em_a[8]/ gpio23 em_a[7]/ gpio24 em_a[13]/ gpio18 em_a[10]/ gpio21 em_a[15]/ gpio16/ vlynq_txd3 em_a[11]/ gpio20 em_a[17]/ gpio14/ vlynq_txd2 em_a[19]/ gpio12/ vlynq_txd1 em_a[20]/ gpio11/ vlynq_rxd0 em_cs4 / gpio9/ vlynq_ scrun ddr_ dqm[0] ddr_d[0] em_a[21]/ gpio10/ vlynq_txd0 em_a[14]/ gpio17/ vlynq_rxd3 em_a[9]/ gpio22 mxv dd reset v ss rsv3 v ss cv dd dv ddr2 dv ddr2 v ss v ss ddr_a[11] ddr_a[12] ddr_clk0 ddr_clk0 ddr_d[14] dv ddr2 v ss v ss ddr_d[5] ddr_d[6] ddr_d[9] dv dd18 em_a[16]/ gpio15/ vlynq_rxd2 dv ddr2 ddr_bs[2] cv dd ddr_d[11] ddr_d[15] ddr_cke ddr_a[8] v ss dv ddr2 v ss v ss dv ddr2 ddr_ dqm[1] ddr_cas ddr_we ddr_vdddll cv dddsp cv dd ddr_dqs[1] ddr_ras ddr_a[10] cv dd cv dd ddr_d[2] ddr_d[3] ddr_d[8] ddr_d[13] ddr_bs[1] ddr_d[4] ddr_d[12] v ss em_a[3]/ gpio28 dv dd18 cv dd dv dd18 rsv7 mxo v ss dv dd18 v ss em_a[18]/ gpio13/ vlynq_rxd1 v ss em_a[12]/ gpio19 v ss ddr_cs cv dddsp ddr_dqs[0] ddr_d[10] ddr_bs[0] em_cs5 / gpio8/ vlynq_ clock rsv6 ddr_d[7] w v u t r p nm l k
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 2-3. pin map [quadrant b] 24 device overview submit documentation feedback w v u t r p nm l k 19 18 17 16 15 14 13 12 11 19 18 17 16 15 14 13 12 11 ddr_a[9] v ss v ss cv dd cv dd v ss cv dd v ss dv ddr2 dv ddr2 dv ddr2 v ss dv ddr2 dv ddr2 v ss ddr_ vssdll ddr_zp ddr_zn v ss v ss v ss dv dd18 dv dd18 hd pclk v dda _ 1p8v ci6/ccd14/ uart_txd2 ci7/ccd15/ uart_rxd2 dac_iout_b rsv4 ddr_d[29] ddr_d[27] ddr_d[21] ddr_d[18] dac_iout_a yi4/ccd4 dac_rbias ddr_a[3] ddr_a[4] ddr_a[0] v ss v ss ddr_dqm[2] ddr_d[26] yi7/ccd7 ddr_d[17] ddr_d[22] ddr_d[24] ddr_d[30] yi0/ccd0 v ssa _ 1p8v ci5/ccd13/ uart_cts2 ci1/ccd9 ci4/ccd12/ uart_rts2 ddr_vref ddr_dqm[3] ddr_d[23] dac_iout_d yi1/ccd1 yi3/ccd3 ddr_d[20] ddr_dqs[3] ddr_d[31] yi6/ccd6 vd ddr_a[7] ddr_a[2] ddr_d[19] ddr_d[28] ddr_a[6] ddr_d[16] dac_iout_c cv dddsp v ss ci2/ccd10 yi5/ccd5 dac_v ref dv dd18 ci0/ccd8 ci3/ccd11 dv ddr2 v dda _ 1p1v dv ddr2 v ssa _ 1p1v yi2/ccd2 ddr_a[1] ddr_dqs[2] ddr_d[25] v ss ddr_a[5] w v u t r p nm l k
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 2-4. pin map [quadrant c] submit documentation feedback device overview 25 h g f e dc b a 19 18 17 16 15 14 13 12 11 19 18 17 16 15 14 13 12 11 cv dddsp yout4/r4/ aeaw4 gpiov33_1/ txclk gpiov33_2/ col gpiov33_9/ rxd2 gpiov33_8/ rxd1 gpiov33_6/ txd3 gpiov33_4/ txd1 gpiov33_12/ rxdv gpio2/g0 gpiov33_7/ rxd0 gpiov33_10/ rxd3 dv dd33 dv dd33 dv dd33 v ss v ss v ss gpio1/ c_we gpio0/ lcd_oe gpio4/r0/ c_field gpiov33_0/ txen gpio6/b1 vsync vpbeclk m24xi yout3/r3/ aeaw3 vclk yout7/r7 clk_out1/ tim_in/gpio49 pwm1/r2/ gpio46 m24v dd cv dddsp gpio38/r1 dv dd18 v ss usb_r1 cout5/g2 cout0/b3/ btsel0 yout6/r6 yout2/g7/ aeaw2 cout7/g4 yout1/g6/ aeaw1 dv dd18 usb_ v ssref usb_ v ssa1p2ld0 usb_dp cout2/b5/ em_width rsv2 v ss usb_v ss1p8 usb_dm cout3/b6/ dsp_bt cout6/g3 m24xo gpiov33_5/ txd2 pwm2/ b2/gpio47 hsync cout1/b4/ btsel1 m24v ss gpio3/b0/ lcd_field pwm0/ gpio45 yout0/g5/ aeaw0 gpio5/g1 yout5/r5 cv dd usb_ v dda1p2ld0 cout4/b7 v ss dv dd18 usb_v dd1p8 gpiov33_3/ txd0 h g f e dc b a j cv dddsp v ss usb_ v ssa3p3 dv dd18 usb_id usb_ v dda3p3 cv dddsp v ss usb_vbus j
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 2-5. pin map [quadrant d] device overview 26 submit documentation feedback j hg fe dc ba 10 9 8 7 6 5 4 3 2 1 10 9 8 7 6 5 4 3 2 1 em_ba[1]/ da1/ gpio52 tms spi_en0/ gpio37 rsv1 em_cs3 spi_clk/ gpio39 spi_en1/ hddir/ gpio42 em_cs2 / hcs gpio7 em_d12/ dd12/ hd12 em_d1/ dd1/ hd1 em_d5/ dd5/ hd5 rsv5 em_d15/ dd15/ hd15 em_d3/ dd3/ hd3 em_d9/ dd9/ hd9 em_d13/ dd13/ hd13 em_d6/ dd6/ hd6 em_d8/ dd8/ hd8 em_we /(we )/ (iowr )/diow / hds2 em_d11/ dd11/ hd11 gpio51/ ata_cs1 em_r/w / intrq/ hr/w em_d4/ dd4/ hd4 scl/ gpio43 tdo sda/gpio44 tdi sd_data3 gpiov33_14/ crs v ss sd_data2 gpiov33_13/ rxer sd_data1 gpiov33_15/ mdio rtck v ss dmack/ uart_txd1 em_ba[0]/ da0/ hint uart_rxd0/ gpio35 em_d2/ dd2/ hd2 em_d10/ dd10/ hd10 v ss sd_cmd gpio50/ ata_cs0 dv dd18 v ss cv dddsp dr/ gpio34 v ss sd_data0 fsr/ gpio32 trst v ss dv dd18 v ss v ss clkr/ gpio30 gpiov33_11/ rxclk dv dd18 v ss cv dddsp clkx/ gpio29 gpiov33_16/ mdclk em_a[2]/ (cle)/ hcntl0 em_a[1]/ (ale)/ hhwil em_a[0]/ da2/ hcntl1/ gpio53 v ss cv dddsp dv dd33 spi_do/ gpio41 tck fsx/ gpio31 dx/ gpio33 dv dd18 em_d7/ dd7/ hd7 uart_txd0/ gpio36 emu1 emu0 em_d0/ dd0/ hd0 dv dd18 em_wait/ (rdy/bsy )/ iordy / hrdy dv dd18 dv dd18 sd_clk em_oe /(re )/ (iord )/dior / hds1 em_d14/ dd14/ hd14 cv dddsp dmarq/ uart_rxd1 spi_di/ gpio40 j h g f e dc ba
2.7 terminal functions tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the terminal functions tables (table 2-5 through table 2-30 ) identify the external signal names, the associated pin (ball) numbers along with the mechanical package designator, the pin type, whether the pin has any internal pullup or pulldown resistors, and a functional pin description. for more detailed information on device configuration, peripheral selection, multiplexed/shared pin, and see the device configurations section of this data manual. table 2-5. boot terminal functions signal type (1) other (2) (3) description name no. boot these pins are multiplexed between arm boot mode and the vpbe. at reset, the boot mode inputs btsel0 and btsel1 are sampled to determine the arm boot cout0/ ipd configuration. see below for the boot modes set by these b3/ a16 i/o/z dv dd18 inputs. see the bootmode section for more details. btsel0 after reset, these are video encoder outputs cout0 and cout1, or rgb666/888 blue output data bits 3 and 4 b3/b4. btsel1 btsel0 arm boot mode 0 0 arm rom boot (nand) [default] cout1/ ipd b4/ b16 i/o/z 0 1 arm emifa boot (nor) dv dd18 btsel1 1 0 arm rom boot (hpi) 1 1 arm rom boot (uart0) this pin is multiplexed between emifa and the vpbe. at reset, the input state is sampled to set the emifa data cout2/ bus width (em_width). for an 8-bit wide emifa data ipd b5/ a17 i/o/z bus, em_width = 0. for a 16-bit wide emifa data bus, dv dd18 em_width em_width = 1. after reset, it is video encoder output cout2 or rgb666/888 blue output data bit 5 b5. this pin is multiplexed between dsp boot and the vpbe. at reset, the input state is sampled to set the dsp boot cout3/ source dsp_bt. the dsp is booted by the arm when ipd b6/ b17 i/o/z dsp_bt=0. the dsp boots from emifa when dv dd18 dsp_bt dsp_bt=1. after reset, it is video encoder output cout3 or rgb666/888 blue data bit 6 output b6. yout0/ ipd g5/ d15 i/o/z dv dd18 aeaw0 these pins are multiplexed between emifa and the yout1/ ipd vpbe. at reset, the input states of aeaw[4:0] are g6/ d16 i/o/z dv dd18 sampled to set the emifa address bus width. see the aeaw1 peripheral selection at device reset section for details. yout2/ after reset, these are video encoder outputs yout[0:4] ipd g7/ d17 i/o/z or rgb666/888 red and green data bit outputs g5, g6, dv dd18 aeaw2 g7, r3, and r4. yout3/ ipd r3/ d18 i/o/z dv dd18 aeaw3 yout4/ ipd r4/ e15 i/o/z dv dd18 aeaw4 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 27
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-6. oscillator/pll terminal functions signal type (1) other (2) description name no. oscillator, pll crystal input mxi for mx oscillator (system oscillator, typically 27 mhz). if a crystal mxi/clkin l1 i dv dd18 input is not used, but instead a physical clock-in source is supplied, this is the external oscillator clock input. crystal output for mx oscillator. if a crystal input is not used, but instead a physical mxo m1 o dv dd18 clock-in source is supplied, mxo should be left as a no connect. 1.8-v power supply for mx oscillator. if a crystal input is not used, but instead a mxv dd l5 s (3) physical clock-in source is supplied, mxv dd should still be connected to the 1.8-v power supply. ground for mx oscillator. if a crystal input is not used, but instead a physical mxv ss l2 gnd (3) clock-in source is supplied, mxv ss should still be connected to ground. crystal input for m24 oscillator (24 mhz for usb). if a crystal input is not used, but m24xi f18 i dv dd18 instead a physical clock-in source is supplied, this is the external oscillator clock input. when the usb peripheral is not used, m24xi should be left as a no connect. crystal output for m24 oscillator. if a crystal input is not used, but instead a physical m24xo f19 o dv dd18 clock-in source is supplied, m24xo should be left as a no connect. when the usb peripheral is not used, m24xo should be left as a no connect. 1.8-v power supply for m24 oscillator. if a crystal input is not used, but instead a physical clock-in source is supplied, m24v dd should still be connected to the 1.8-v m24v dd f16 s (3) power supply. when the usb peripheral is not used, m24v dd should be connected to the 1.8-v power supply. ground for m24 oscillator. if a crystal input is not used, but instead a physical m24v ss f17 gnd (3) clock-in source is supplied, m24v ss should still be connected to ground. when the usb peripheral is not used, m24v ss should be connected to ground. pllv dd18 m2 s (3) 1.8-v power supply for plls (system). (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal (3) for more information, see the recommended operating conditions table table 2-7. clock generator terminal functions signal type (1) other (2) description name no. clock generator this pin is multiplexed between the pll1 clock generator and gpio. clk_out0/ k1 i/o/z dv dd18 for the pll1 clock generator, it is clock output clk_out0. this is configurable for gpio48 13.5 mhz or 27 mhz clock outputs. clk_out1/ this pin is multiplexed between the usb clock generator, timer, and gpio. tim_in/ e19 i/o/z dv dd18 for the usb clock generator, it is clock output clk_out1. this is configurable for gpio49 12 mhz or 24 mhz clock outputs. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal table 2-8. reset and jtag terminal functions signal type (1) other (2) (3) description name no. reset ipu reset l4 i this is the active low global reset input. dv dd18 jtag ipu tms e6 i jtag test-port mode select input dv dd18 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal device overview 28 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-8. reset and jtag terminal functions (continued) signal type (1) other (2) (3) description name no. ? tdo b5 o/z jtag test-port data output dv dd18 ipu tdi a5 i jtag test-port data input dv dd18 ipu tck a6 i jtag test-port clock input dv dd18 ? rtck b6 o/z jtag test-port return clock output dv dd18 ipd jtag test-port reset. for ieee 1149.1 jtag compatibility, see the ieee 1149.1 trst d7 i dv dd18 jtag compatibility statement portion of this data manual . ipu emu1 c6 i/o/z emulation pin 1 dv dd18 ipu emu0 d6 i/o/z emulation pin 0 dv dd18 table 2-9. emifa terminal functions signal type (1) other (2) (3) description name no. emifa boot configuration this pin is multiplexed between emifa and the vpbe. at reset, the input state is cout2/ sampled to set the emifa data bus width (em_width). for an 8-bit wide emifa ipd b5/ a17 i/o/z data bus, em_width = 0. for a 16-bit wide emifa data bus, em_width = 1. dv dd18 em_width after reset, it is video encoder output cout2 or rgb666/888 blue output data bit 5 b5. this pin is multiplexed between dsp boot and the vpbe. at reset, the input state is cout3/ sampled to set the dsp boot source dsp_bt. the dsp is booted by the arm when ipd b6/ b17 i/o/z dsp_bt=0. the dsp boots from emifa when dsp_bt=1. dv dd18 dsp_bt after reset, it is video encoder output cout3 or rgb666/888 blue data bit 6 output b6. yout0/ ipd g5/ d15 i/o/z dv dd18 aeaw0 yout1/ ipd g6/ d16 i/o/z dv dd18 aeaw1 these pins are multiplexed between emifa and the vpbe. at reset, the input states yout2/ of aeaw[4:0] are sampled to set the emifa address bus width. see the peripheral ipd g7/ d17 i/o/z selection at device reset section for details. dv dd18 aeaw2 after reset, these are video encoder outputs yout[0:4] or rgb666/888 red and green data bit outputs g5, g6, g7, r3, and r4. yout3/ ipd r3/ d18 i/o/z dv dd18 aeaw3 yout4/ ipd r4/ e15 i/o/z dv dd18 aeaw4 emifa functional pins: async / nor this pin is multiplexed between emifa and hpi. em_cs2/ for emifa, this pin is chip select 2 output em_cs2 for use with asynchronous c2 i/o/z dv dd18 hcs memories (i.e., nor flash) or nand flash. this is the chip select for the default boot and rom boot modes. for emifa, this pin is chip select 3 output em_cs3 for use with asynchronous em_cs3 b1 i/o/z dv dd18 memories (i.e., nor flash) or nand flash. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 29
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-9. emifa terminal functions (continued) signal type (1) other (2) (3) description name no. em_cs4/ this pin is multiplexed between emifa, gpio, and vlynq. gpio9/ t2 i/o/z dv dd18 for emifa, it is chip select 4 output em_cs4 for use with asynchronous memories vlynq_scrun (i.e., nor flash) or nand flash. em_cs5/ this pin is multiplexed between emifa, gpio, and vlynq. gpio8/ t1 i/o/z dv dd18 for emifa, it is chip select 5 output em_cs5 for use with asynchronous memories vlynq_clock (i.e., nor flash) or nand flash. em_r/ w/ this pin is multiplexed between emifa, ata/cf, and hpi. intrq/ g3 i/o/z dv dd18 for emifa, it is read/write output em_r/ w. hr/ w em_wait/ (rdy/ bsy)/ ipu this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. f1 i/o/z iordy/ dv dd18 for emifa, it is wait state extension input em_wait. hrdy em_oe/ ( re)/ this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iord)/ h4 i/o/z dv dd18 for emifa, it is output enable output em_oe. dior/ hds1 em_we ( we) this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iowr)/ g2 i/o/z dv dd18 for nand/smartmedia/xd or emifa, it is write enable output em_we. diow/ hds2 this pin is multiplexed between emifa, ata/cf, and hpi. for emifa, this is the bank address 0 output (em_ba[0]). em_ba[0]/ ipd when connected to an 8-bit asynchronous memory, this pin is the lowest order bit of da0/ j3 i/o/z dv dd18 the byte address. hint when connected to a 16-bit asynchronous memory, this pin has the same function as emif address pin 22 (em_a[22]). this pin is multiplexed between emifa, ata/cf, and gpio. for emifa, this is the bank address 1 output em_ba[1]. em_ba[1]/ when connected to a 16 bit asynchronous memory this pin is the lowest order bit of da1/ h2 i/o/z dv dd18 the 16-bit word address. gpio52 when connected to an 8-bit asynchronous memory, this pin is the 2nd bit of the address. em_a[21]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio10/ t3 i/o/z dv dd18 for emifa, it is address bit 21 output em_a[21]. vlynq_txd0 em_a[20]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio11/ r3 i/o/z dv dd18 for emifa, it is address bit 20 output em_a[20]. vlynq_rxd0 em_a[19]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio12/ r4 i/o/z dv dd18 for emifa, it is address bit 19 output em_a[19]. vlynq_txd1 em_a[18]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio13/ p5 i/o/z dv dd18 for emifa, it is address bit 18 output em_a[18]. vlynq_rxd1 em_a[17]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio14/ r2 i/o/z dv dd18 for emifa, it is address bit 17 output em_a[17]. vlynq_txd2 em_a[16]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio15/ r5 i/o/z dv dd18 for emifa, it is address bit 16 output em_a[16]. vlynq_rxd2 em_a[15]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio16/ p3 i/o/z dv dd18 for emifa, it is address bit 15 output em_a[15]. vlynq_txd3 em_a[14]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio17/ p4 i/o/z dv dd18 for emifa, it is address bit 14 output em_a[14]. vlynq_rxd3 device overview 30 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-9. emifa terminal functions (continued) signal type (1) other (2) (3) description name no. em_a[13]/ this pin is multiplexed between emifa and gpio. n4 i/o/z dv dd18 gpio18 for emifa, it is address bit 13 output em_a[13]. em_a[12]/ this pin is multiplexed between emifa and gpio. r1 i/o/z dv dd18 gpio19 for emifa, it is address bit 12 output em_a[12]. em_a[11]/ this pin is multiplexed between emifa and gpio. p2 i/o/z dv dd18 gpio20 for emifa, it is address bit 11 output em_a[11]. em_a[10]/ this pin is multiplexed between emifa and gpio. p1 i/o/z dv dd18 gpio21 for emifa, it is address bit 10 output em_a[10]. em_a[9]/ this pin is multiplexed between emifa and gpio. m4 i/o/z dv dd18 gpio22 for emifa, it is address bit 9 output em_a[9]. em_a[8]/ this pin is multiplexed between emifa and gpio. n3 i/o/z dv dd18 gpio23 for emifa, it is address bit 8 output em_a[8]. em_a[7]/ this pin is multiplexed between emifa and gpio. n2 i/o/z dv dd18 gpio24 for emifa, it is address bit 7 output em_a[7]. em_a[6]/ this pin is multiplexed between emifa and gpio. n1 i/o/z dv dd18 gpio25 for emifa, it is address bit 6 output em_a[6]. em_a[5]/ this pin is multiplexed between emifa and gpio. k3 i/o/z dv dd18 gpio26 for emifa, it is address bit 5 output em_a[5]. em_a[4]/ this pin is multiplexed between emifa and gpio. k4 i/o/z dv dd18 gpio27 for emifa, it is address bit 4 output em_a[4]. em_a[3]/ this pin is multiplexed between emifa and gpio. k2 i/o/z dv dd18 gpio28 for emifa, it is address bit 3 output em_a[3]. em_a[2]/ this pin is multiplexed between emifa and hpi. (cle)/ j1 i/o/z dv dd18 for emifa, this pin is the em_a[2] address line. hcntl0 em_a[1]/ (ale)/ j2 i/o/z dv dd18 this pin is multiplexed between emifa (nand/smartmedia.xd) and hpi. hhwil this pin is multiplexed between emifa, ata/cf, hpi, and gpio. em_a[0]/ for emifa, this is address output em_a[0], which is the least significant bit on a da2/ 32-bit word address. j4 i/o/z dv dd18 hcntl1/ when connected to a 16-bit asynchronous memory, this pin is the 2nd bit of the gpio53 address. for an 8-bit asynchronous memory, this pin is the 3rd bit of the address. submit documentation feedback device overview 31
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-9. emifa terminal functions (continued) signal type (1) other (2) (3) description name no. em_d0/ dd0/ e5 i/o/z dv dd18 hd0 em_d1/ dd1/ d3 i/o/z dv dd18 hd1 em_d2/ dd2/ f5 i/o/z dv dd18 hd2 em_d3/ dd3/ e3 i/o/z dv dd18 hd3 em_d4/ dd4/ e4 i/o/z dv dd18 hd4 em_d5/ dd5/ d2 i/o/z dv dd18 hd5 em_d6/ dd6/ f4 i/o/z dv dd18 hd6 em_d7/ dd7/ c1 i/o/z dv dd18 these pins are multiplexed between emifa (nand), ata/cf, and hpi. in all cases hd7 they are used as a 16 bit bi-directional data bus. em_d8/ for emifa (nand), these are em_d[15:0]. dd8/ f3 i/o/z dv dd18 hd8 em_d9/ dd9/ e2 i/o/z dv dd18 hd9 em_d10/ dd10/ g5 i/o/z dv dd18 hd10 em_d11/ dd11/ g4 i/o/z dv dd18 hd11 em_d12/ dd12/ d1 i/o/z dv dd18 hd12 em_d13/ dd13/ f2 i/o/z dv dd18 hd13 em_d14/ dd14/ h5 i/o/z dv dd18 hd14 em_d15/ dd15/ e1 i/o/z dv dd18 hd15 32 device overview submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-9. emifa terminal functions (continued) signal type (1) other (2) (3) description name no. emifa functional pins: nand / smartmedia / xd em_a[1]/ this pin is multiplexed between emifa and hpi. (ale)/ j2 i/o/z dv dd18 for nand/smartmedia/xd, it is address latch enable output (ale). hhwil em_a[2]/ this pin is multiplexed between emifa and hpi. (cle)/ j1 i/o/z dv dd18 for nand/smartmedia/xd, this pin is the command latch enable output (cle). hcntl0 em_wait/ (rdy/ bsy)/ ipu this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. f1 i/o/z iordy/ dv dd18 for nand/smartmedia/xd, it is ready/busy input (rdy/ bsy). hrdy em_oe/ ( re)/ this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iord)/ h4 i/o/z dv dd18 for nand/smartmedia/xd, it is read enable output ( re). dior/ hds1 em_we ( we) this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iowr)/ g2 i/o/z dv dd18 for nand/smartmedia/xd, it is write enable output ( we). diow/ hds2 this pin is multiplexed between emifa and hpi. em_cs2/ for emifa, this pin is chip select 2 output em_cs2 for use with asynchronous c2 i/o/z dv dd18 hcs memories (i.e. nor flash) or nand flash. this is the chip select for the default boot and rom boot modes. for emifa, this pin is chip select 3 output em_cs3 for use with asynchronous em_cs3 b1 i/o/z dv dd18 memories (i.e. nor flash) or nand flash. em_cs4/ this pin is multiplexed between emifa, gpio, and vlynq. for emifa, it is chip gpio9/ t2 i/o/z dv dd18 select 4 output em_cs4 for use with asynchronous memories (i.e., nor flash) or vlynq_scrun nand flash. em_cs5/ this pin is multiplexed between emifa, gpio, and vlynq. for emifa, it is chip gpio8/ t1 i/o/z dv dd18 select 5 output em_cs5 for use with asynchronous memories (i.e., nor flash) or vlynq_clock nand flash. submit documentation feedback device overview 33
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-9. emifa terminal functions (continued) signal type (1) other (2) (3) description name no. em_d0/ dd0/ e5 i/o/z dv dd18 hd0 em_d1/ dd1/ d3 i/o/z dv dd18 hd1 em_d2/ dd2/ f5 i/o/z dv dd18 hd2 em_d3/ dd3/ e3 i/o/z dv dd18 hd3 em_d4/ dd4/ e4 i/o/z dv dd18 hd4 em_d5/ dd5/ d2 i/o/z dv dd18 hd5 em_d6/ dd6/ f4 i/o/z dv dd18 hd6 em_d7/ dd7/ c1 i/o/z dv dd18 these pins are multiplexed between emifa (nand), ata/cf, and hpi. in all cases hd7 they are used as a 16 bit bi-directional data bus. em_d8/ for emifa (nand), these are em_d[15:0]. dd8/ f3 i/o/z dv dd18 hd8 em_d9/ dd9/ e2 i/o/z dv dd18 hd9 em_d10/ dd10/ g5 i/o/z dv dd18 hd10 em_d11/ dd11/ g4 i/o/z dv dd18 hd11 em_d12/ dd12/ d1 i/o/z dv dd18 hd12 em_d13/ dd13/ f2 i/o/z dv dd18 hd13 em_d14/ dd14/ h5 i/o/z dv dd18 hd14 em_d15/ dd15/ e1 i/o/z dv dd18 hd15 device overview 34 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-10. ddr2 memory controller terminal functions signal type (1) other (2) (3) description name no. ddr2 memory controller ddr_clk0 w7 i/o/z dv ddr2 ddr2 clock ddr_clk0 w8 i/o/z dv ddr2 ddr2 differential clock ddr_cke v8 i/o/z dv ddr2 ddr2 clock enable ddr_cs t9 i/o/z dv ddr2 ddr2 active low chip select ddr_we t8 i/o/z dv ddr2 ddr2 active low write enable ddr_dqm[3] t16 i/o/z dv ddr2 ddr2 data mask outputs dqm3: for upper byte data bus ddr_d[31:24] ddr_dqm[2] t14 i/o/z dv ddr2 dqm2: for ddr_d[23:16] ddr_dqm[1] t6 i/o/z dv ddr2 dqm1: for ddr_d[15:8] dqm0: for lower byte ddr_d[7:0] ddr_dqm[0] t4 i/o/z dv ddr2 ddr_ras u7 i/o/z dv ddr2 ddr2 row access signal output ddr_cas t7 i/o/z dv ddr2 ddr2 column access signal output ddr_dqs[0] u4 i/o/z dv ddr2 data strobe input/outputs for each byte of the 32-bit data bus. they are outputs to the ddr2 memory when writing and inputs when reading. they are used to ddr_dqs[1] u6 i/o/z dv ddr2 synchronize the data transfers. ddr_dqs[2] u14 i/o/z dv ddr2 dqs3 : for upper byte ddr_d[31:24] dqs2: for ddr_d[23:16] dqs1: for ddr_d[15:8] ddr_dqs[3] u16 i/o/z dv ddr2 dqs0: for bottom byte ddr_d[7:0] ddr_bs[0] u8 ddr_bs[1] v9 i/o/z dv ddr2 bank select outputs (bs[2:0]). two are required to support 1gb ddr2 memories. ddr_bs[2] u9 ddr_a[12] w9 ddr_a[11] w10 ddr_a[10] u10 ddr_a[9] u11 ddr_a[8] v10 ddr_a[7] v11 ddr_a[6] w11 i/o/z dv ddr2 ddr2 address bus ddr_a[5] w12 ddr_a[4] v12 ddr_a[3] u12 ddr_a[2] v13 ddr_a[1] u13 ddr_a[0] w13 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal (3) for more information, see the recommended operating conditions table submit documentation feedback device overview 35
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-10. ddr2 memory controller terminal functions (continued) signal type (1) other (2) (3) description name no. ddr_d[31] u19 ddr_d[30] v19 ddr_d[29] w18 ddr_d[28] v18 ddr_d[27] w17 ddr_d[26] u18 ddr_d[25] u17 ddr_d[24] v17 ddr_d[23] t17 ddr_d[22] v16 ddr_d[21] w16 ddr_d[20] u15 ddr_d[19] v15 ddr_d[18] w15 ddr_d[17] v14 ddr_d[16] w14 i/o/z dv ddr2 ddr2 data bus can be configured as 32 bits wide or 16 bits wide. ddr_d[15] v7 ddr_d[14] w6 ddr_d[13] v6 ddr_d[12] w5 ddr_d[11] v5 ddr_d[10] u5 ddr_d[9] w4 ddr_d[8] v4 ddr_d[7] w3 ddr_d[6] v3 ddr_d[5] u3 ddr_d[4] w2 ddr_d[3] v2 ddr_d[2] v1 ddr_d[1] u2 ddr_d[0] u1 ddr_vref t15 i (3) reference voltage input for the sstl_18 io buffers. ddr_vssdll t11 gnd (3) ground for the ddr2 digital locked loop. ddr_vdddll t10 s (3) power (1.8 volts) for the ddr2 digital locked loop. impedance control for ddr2 outputs. this must be connected via a 200 w resistor ddr_zn t12 o/z (3) to dv ddr2 . impedance control for ddr2 outputs. this must be connected via a 200 w resistor ddr_zp t13 o/z (3) to v ss . device overview 36 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-11. i2c terminal functions signal type (1) other (2) description name no. i2c scl/ this pin is multiplexed between i2c and gpio. c4 i/o/z dv dd18 gpio43 for i2c, it is clock output scl. sda/ this pin is multiplexed between i2c and gpio. b4 i/o/z dv dd18 gpio44 for i2c, it is bi-directional data signal sda. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal table 2-12. audio serial port (asp) terminal functions signal type (1) other (2) description name no. audio serial port (asp) clkx/ this pin is multiplexed between asp and gpio. b8 i/o/z dv dd18 gpio29 for asp, it is transmit clock io clkx. clkr/ this pin is multiplexed between asp and gpio. a8 i/o/z dv dd18 gpio30 for asp, it is receive clock io clkr. fsx/ this pin is multiplexed between asp and gpio. c8 i/o/z dv dd18 gpio31 for asp, it is transmit frame synchronization io fsx. fsr/ this pin is multiplexed between asp and gpio. c7 i/o/z dv dd18 gpio32 for asp, it is receive frame synchronization io fsr. dx/ this pin is multiplexed between asp and gpio. b7 i/o/z dv dd18 gpio33 for asp, it is data transmit output dx. dr/ this pin is multiplexed between asp and gpio. a7 i/o/z dv dd18 gpio34 for asp, it is data receive input dr. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal table 2-13. spi terminal functions signal type (1) other (2) description name no. serial peripheral interface (spi) spi_en0/ this pin is multiplexed between spi and gpio. a4 i/o/z dv dd18 gpio37 when used by spi, it is spi slave device 0 enable output spi_en0. spi_en1/ this pin is multiplexed between spi, ata, and gpio. hddir/ b2 i/o/z dv dd18 when used by spi, it is spi slave device 1 enable output spi_en1. gpio42 spi_clk/ this pin is multiplexed between spi and gpio. a3 i/o/z dv dd18 gpio39 for spi, it is clock output spi_clk. spi_di/ this pin is multiplexed between spi and gpio. b3 i/o/z dv dd18 gpio40 for spi, it is data input spi_di. spi_do/ this pin is multiplexed between spi and gpio. a2 i/o/z dv dd18 gpio41 for spi it is data output spi_do. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 37
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-14. emac and mdio terminal functions signal type (1) other (2) description name no. emac gpiov33_0/ this pin is multiplexed between gpio and ethernet mac. b13 i/o/z dv dd33 txen in ethernet mac mode, it is transmit enable output txen. gpiov33_1/ this pin is multiplexed between gpio and ethernet mac. a13 i/o/z dv dd33 txclk in ethernet mac mode, it is transmit clock input txclk. gpiov33_2/ this pin is multiplexed between gpio and ethernet mac. a12 i/o/z dv dd33 col in ethernet mac mode, it is collision detect input col. gpiov33_6/ this pin is multiplexed between gpio and ethernet mac. c12 i/o/z dv dd33 txd3 in ethernet mac mode, it is transmit data 3 output txd3. gpiov33_5/ this pin is multiplexed between gpio and ethernet mac. a11 i/o/z dv dd33 txd2 in ethernet mac mode, it is transmit data 2 output txd2. gpiov33_4/ this pin is multiplexed between gpio and ethernet mac. d12 i/o/z dv dd33 txd1 in ethernet mac mode, it is transmit data 1 output txd1. gpiov33_3/ this pin is multiplexed between gpio and ethernet mac. b12 i/o/z dv dd33 txd0 in ethernet mac mode, it is transmit data 0 output txd0. gpiov33_11/ this pin is multiplexed between gpio and ethernet mac. a10 i/o/z dv dd33 rxclk in ethernet mac mode, it is receive clock input rxclk. gpiov33_12/ this pin is multiplexed between gpio and ethernet mac. d11 i/o/z dv dd33 rxdv in ethernet mac mode, it is receive data valid input rxdv. gpiov33_13/ this pin is multiplexed between gpio and ethernet mac. d10 i/o/z dv dd33 rxer in ethernet mac mode, it is receive error input rxer. gpiov33_14/ this pin is multiplexed between gpio and ethernet mac. c10 i/o/z dv dd33 crs in ethernet mac mode, it is carrier sense input crs. gpiov33_10/ this pin is multiplexed between gpio and ethernet mac. e11 i/o/z dv dd33 rxd3 in ethernet mac mode, it is receive data 3 input rxd3. gpiov33_9/ this pin is multiplexed between gpio and ethernet mac. b11 i/o/z dv dd33 rxd2 in ethernet mac mode, it is receive data 2 input rxd2. gpiov33_8/ this pin is multiplexed between gpio and ethernet mac. c11 i/o/z dv dd33 rxd1 in ethernet mac mode, it is receive data 1 input rxd1. gpiov33_7/ this pin is multiplexed between gpio and ethernet mac. e12 i/o/z dv dd33 rxd0 in ethernet mac mode, it is receive data 0 input rxd0. mdio gpiov33_16/ this pin is multiplexed between gpio and ethernet mac. b10 i/o/z dv dd33 mdclk in ethernet mac mode, it is management data clock output mdclk. gpiov33_15/ this pin is multiplexed between gpio and ethernet mac. e10 i/o/z dv dd33 mdio in ethernet mac mode, it is management data io mdio. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal table 2-15. gpiov33 terminal functions signal type (1) other (2) description name no. gpiov33 gpiov33_16/ this pin is multiplexed between gpio and ethernet mac. b10 i/o/z dv dd33 mdclk in gpio mode, it is 3.3v gpio gpiov33_16. gpiov33_15/ this pin is multiplexed between gpio and ethernet mac. e10 i/o/z dv dd33 mdio in gpio mode, it is 3.3v gpio gpiov33_15. gpiov33_14/ this pin is multiplexed between gpio and ethernet mac. c10 i/o/z dv dd33 crs in gpio mode, it is 3.3v gpio gpiov33_14. gpiov33_13/ this pin is multiplexed between gpio and ethernet mac. d10 i/o/z dv dd33 rxer in gpio mode, it is 3.3v gpio gpiov33_13. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal device overview 38 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-15. gpiov33 terminal functions (continued) signal type (1) other (2) description name no. gpiov33_12/ this pin is multiplexed between gpio and ethernet mac. d11 i/o/z dv dd33 rxdv in gpio mode, it is 3.3v gpio gpiov33_12. gpiov33_11/ this pin is multiplexed between gpio and ethernet mac. a10 i/o/z dv dd33 rxclk in gpio mode, it is 3.3v gpio gpiov33_11. gpiov33_10/ this pin is multiplexed between gpio and ethernet mac. e11 i/o/z dv dd33 rxd3 in gpio mode, it is 3.3v gpio gpiov33_10. gpiov33_9/ this pin is multiplexed between gpio and ethernet mac. b11 i/o/z dv dd33 rxd2 in gpio mode, it is 3.3v gpio gpiov33_9. gpiov33_8/ this pin is multiplexed between gpio and ethernet mac. c11 i/o/z dv dd33 rxd1 in gpio mode, it is 3.3v gpio gpiov33_8. gpiov33_7/ this pin is multiplexed between gpio and ethernet mac. e12 i/o/z dv dd33 rxd0 in gpio mode, it is 3.3v gpio gpiov33_7. gpiov33_6/ this pin is multiplexed between gpio and ethernet mac. c12 i/o/z dv dd33 txd3 in gpio mode, it is 3.3v gpio gpiov33_6. gpiov33_5/ this pin is multiplexed between gpio and ethernet mac. a11 i/o/z dv dd33 txd2 in gpio mode, it is 3.3v gpio gpiov33_5. gpiov33_4/ this pin is multiplexed between gpio and ethernet mac. d12 i/o/z dv dd33 txd1 in gpio mode, it is 3.3v gpio gpiov33_4. gpiov33_3/ this pin is multiplexed between gpio and ethernet mac. b12 i/o/z dv dd33 txd0 in gpio mode, it is 3.3v gpio gpiov33_3. gpiov33_2/ this pin is multiplexed between gpio and ethernet mac. a12 i/o/z dv dd33 col in gpio mode, it is 3.3v gpio gpiov33_2. gpiov33_1/ this pin is multiplexed between gpio and ethernet mac. a13 i/o/z dv dd33 txclk in gpio mode, it is 3.3v gpio gpiov33_1. gpiov33_0/ this pin is multiplexed between gpio and ethernet mac. b13 i/o/z dv dd33 txen in gpio mode, this pin is 3.3v gpio pin gpiov33_0. table 2-16. standalone gpiov18 terminal functions signal type (1) other (2) description name no. standalone gpiov18 gpio7 c3 i/o/z dv dd18 this pin is standalone and functions as gpio7. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 39
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-17. usb terminal functions signal type (1) other (2) (3) description name no. usb 2.0 crystal input for m24 oscillator (24 mhz for usb). if a crystal input is not used, but instead a physical clock-in source is supplied, this m24xi f18 i dv dd18 is the external oscillator clock input. when the usb peripheral is not used, m24xi should be left as a no connect. crystal output for m24 oscillator. if a crystal input is not used, but instead a physical clock-in source is supplied, m24xo f19 o dv dd18 m24xo should be left as a no connect. when the usb peripheral is not used, m24xo should be left as a no connect. 1.8-v power supply for m24 oscillator. if a crystal input is not used, but instead a physical clock-in source is supplied, m24v dd f16 s (3) m24v dd should still be connected to the 1.8-v power supply. when the usb peripheral is not used, m24v dd should be connected to the 1.8-v power supply. ground for m24 oscillator. if a crystal input is not used, but instead a physical clock-in source is supplied, m24v ss f17 gnd (3) m24v ss should still be connected to ground. when the usb peripheral is not used, m24v ss should be connected to ground. 5-v input that signifies that vbus is connected. usb_vbus j17 a i/o (3) when the usb peripheral is not used, the usb_vbus signal should be either pulled down or pulled up via a 10-k w resistor. usb operating mode identification pin. for host mode operation, pull down this pin to ground (v ss ) via an external 1.5-k w resistor. for device mode operation, pull up this pin to dv dd33 rail via an external 1.5-k w resistor. usb_id j16 a i/o when the usb peripheral is not used, the usb_id signal should be either pulled down or pulled up via a 10-k w resistor. usb_dp g19 a i/o usb bi-directional data differential signal pair [positive/negative]. when the usb peripheral is not used, the usb_dp signal should be pulled high usb_dm h19 a i/o and the usb_dm signal should be pulled down via a 10-k w resistor. reference current output. this must be connected via a 10-k w 1% resistor to usb_v ssref . usb_r1 h18 a i/o (3) when the usb peripheral is not used, the usb_r1 signal should be connected via a 10-k w resistor to usb_v ssref . ground for reference current. this must be connected via a 10-k w 1% resistor to usb_r1. usb_v ssref g16 gnd (3) when the usb peripheral is not used, the usb_v ssref signal should be connected to v ss . analog 3.3 v power supply for usb phy. usb_v dda3p3 j19 s (3) when the usb peripheral is not used, the usb_v dda3p3 signal should be connected to dv dd33 . analog ground for usb phy. when the usb peripheral is not used, the usb_v ssa3p3 j18 gnd (3) usb_v ssa3p3 signal should be connected to v ss . 1.8-v i/o power supply for usb phy. usb_v dd1p8 h17 s (3) when the usb peripheral is not used, the usb_v dd1p8 signal should be connected to dv dd18 . (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal (3) for more information, see the recommended operating conditions table device overview 40 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-17. usb terminal functions (continued) signal type (1) other (2) (3) description name no. i/o ground for usb phy. usb_v ss1p8 h16 gnd (3) when the usb peripheral is not used, the usb_v ss1p8 signal should be connected to v ss . core power supply ldo output for usb phy. this must be connected via a 1- m f capacitor to v ss . usb_v dda1p2ldo g18 s (3) when the usb peripheral is not used, the usb_v dda1p2ldo signal should still be connected via a 1- m f capacitor to v ss . core ground for usb phy. this is the ground for the ldo and must be connected to v ss . usb_v ssa1p2ldo g17 gnd (3) when the usb peripheral is not used, the usb_v ssa1p2ldo signal should still be connected to v ss . submit documentation feedback device overview 41
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-18. vlynq terminal functions signal type (1) other (2) description name no. vlynq em_cs5/ this pin is multiplexed between emifa, gpio, and vlynq. gpio8/ t1 i/o/z dv dd18 for vlynq, it is the clock (vlynq_clock). vlynq_clock em_cs4/ this pin is multiplexed between emifa, gpio, and vlynq. gpio9/ t2 i/o/z dv dd18 for vlynq, it is the serial clock run request (vlynq_scrun). vlynq_scrun em_a[15]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio16/ p3 i/o/z dv dd18 for vlynq, it is transmit bus bit 3 output vlynq_txd3. vlynq_txd3 em_a[17]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio14/ r2 i/o/z dv dd18 for vlynq, it is transmit bus bit 2 output vlynq_txd2. vlynq_txd2 em_a[19]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio12/ r4 i/o/z dv dd18 for vlynq, it is transmit bus bit 1 output vlynq_txd1. vlynq_txd1 em_a[21]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio10/ t3 i/o/z dv dd18 for vlynq, it is bit 0 of the transmit bus (vlynq_txd0). vlynq_txd0 em_a[14]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio17/ p4 i/o/z dv dd18 for vlynq, it is receive bus bit 3 input vlynq_rxd3. vlynq_rxd3 em_a[16]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio15/ r5 i/o/z dv dd18 for vlynq, it is receive bus bit 2 input vlynq_rxd2. vlynq_rxd2 em_a[18]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio13/ p5 i/o/z dv dd18 for vlynq, it is receive bus bit 1 input vlynq_rxd1. vlynq_rxd1 em_a[20]/ this pin is multiplexed between emifa, gpio, and vlynq. gpio11/ r3 i/o/z dv dd18 for vlynq, it is receive bus bit 0 input vlynq_rxd0. vlynq_rxd0 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal device overview 42 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-19. vpfe terminal functions signal type (1) other (2) (3) description name no. video/image in (vpfe) ? pixel clock input used to load image data into the ccd controller (ccdc) on pins pclk m19 i dv dd18 ci[7:0] and yi[7:0]. ? vertical synchronization signal that can be either an input (slave mode) or an output vd l19 i/o/z dv dd18 (master mode), which signals the start of a new frame to the ccdc. ? horizontal synchronization signal that can be either an input (slave mode) or an hd m18 i/o/z dv dd18 output (master mode), which signals the start of a new line to the ccdc. this pin is multiplexed between the ccdc and uart2. when used by the ccdc as input ci7, it supports several modes. ci7/ ipd in 16-bit ccd analog-front-end (afe) mode, it is input ccd15. ccd15/ n19 i/o/z dv dd18 in 16-bit ycbcr mode, it is time multiplexed between cb7 and cr7 inputs. uart_rxd2 in 8-bit ycbcr mode, it is time multiplexed between y7, cb7, and cr7 of the upper 8-bit channel. this pin is multiplexed between the ccdc and uart2. when used by the ccdc as input ci6, it supports several modes. in 16-bit ccd ci6/ ipd afe mode, it is input ccd14. ccd14/ n18 i/o/z dv dd18 in 16-bit ycbcr mode, it is time multiplexed between cb6 and cr6 inputs. uart_txd2 in 8-bit ycbcr mode, it is time multiplexed between y6, cb6, and cr6 of the upper 8-bit channel. this pin is multiplexed between the ccdc and uart2. when used by the ccdc as input ci5, it supports several modes. ci5/ ipd in 16-bit ccd afe mode, it is input ccd13. ccd13/ n17 i/o/z dv dd18 in 16-bit ycbcr mode, it is time multiplexed between cb5 and cr5 inputs. uart_cts2 in 8-bit ycbcr mode, it is time multiplexed between y5, cb5, and cr5 of the upper 8-bit channel. this pin is multiplexed between the ccdc and uart2. when used by the ccdc as input ci4, it supports several modes. ci4/ ipd in 16-bit ccd afe mode, it is input ccd12. ccd12/ n16 i/o/z dv dd18 in 16-bit ycbcr mode, it is time multiplexed between cb4 and cr4 inputs. uart_rts2 in 8-bit ycbcr mode, it is time multiplexed between y4, cb4, and cr4 of the upper 8-bit channel. this pin is ccdc input ci3 and it supports several modes. in 16-bit ccd afe mode, it is input ccd11. ci3/ ipd n15 i in 16-bit ycbcr mode, it is time multiplexed between cb3 and cr3 inputs. ccd11 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y3, cb3, and cr3 of the upper 8-bit channel. this pin is ccdc input ci2 and it supports several modes. in 16-bit ccd afe mode, it is input ccd10. ci2/ ipd m17 i in 16-bit ycbcr mode, it is time multiplexed between cb2 and cr2 inputs. ccd10 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y2, cb2, and cr2 of the upper 8-bit channel. this pin is ccdc input ci1 and it supports several modes. in 16-bit ccd afe mode, it is input ccd9. ci1/ ipd m16 i in 16-bit ycbcr mode, it is time multiplexed between cb1 and cr1 inputs. ccd9 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y1, cb1, and cr1 of the upper 8-bit channel. this pin is ccdc input ci0 and it supports several modes. in 16-bit ccd afe mode, it is input ccd8. ci0/ ipd m15 i in 16-bit ycbcr mode, it is time multiplexed between cb0 and cr0 inputs. ccd8 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y0, cb0, and cr0 of the upper 8-bit channel. this pin is ccdc input yi7 and it supports several modes. in 16-bit ccd afe mode, it is input ccd7. yi7/ ipd l18 i in 16-bit ycbcr mode, it is input y7. ccd7 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y7, cb7, and cr7 of the lower 8-bit channel. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 43
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-19. vpfe terminal functions (continued) signal type (1) other (2) (3) description name no. this pin is ccdc input yi6 and it supports several modes. in 16-bit ccd afe mode, it is input ccd6. yi6/ ipd l17 i in 16-bit ycbcr mode, it is input y6. ccd6 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y6, cb6, and cr6 of the lower 8-bit channel. this pin is ccdc input yi5 and it supports several modes. in 16-bit ccd afe mode, it is input ccd5. yi5/ ipd l16 i in 16-bit ycbcr mode, it is input y5. ccd5 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y5, cb5, and cr5 of the lower 8-bit channel. this pin is ccdc input yi4 and it supports several modes. in 16-bit ccd analog-front-end (afe) mode, it is input ccd4. yi4/ ipd l15 i in 16-bit ycbcr mode, it is input y4. ccd4 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y4, cb4, and cr4 of the lower 8-bit channel. this pin is ccdc input yi3 and it supports several modes. in 16-bit ccd afe mode, it is input ccd3. yi3/ ipd k19 i in 16-bit ycbcr mode, it is input y3. ccd3 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y3, cb3, and cr3 of the lower 8-bit channel. this pin is ccdc input yi2 and it supports several modes. in 16-bit ccd afe mode, it is input ccd2. yi2/ ipd k18 i in 16-bit ycbcr mode, it is input y2. ccd2 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y2, cb2, and cr2 of the lower 8-bit channel. this pin is ccdc input yi1 and it supports several modes. in 16-bit ccd afe mode, it is input ccd1. yi1/ ipd k17 i in 16-bit ycbcr mode, it is input y1. ccd1 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y1, cb1, and cr1 of the lower 8-bit channel. this pin is ccdc input yi0 and it supports several modes. in 16-bit ccd afe mode, it is input ccd0. yi0/ ipd k16 i in 16-bit ycbcr mode, it is input y0. ccd0 dv dd18 in 8-bit ycbcr mode, it is time multiplexed between y0, cb0, and cr0 of the lower 8-bit channel. gpio1/ this pin is multiplexed between gpio and the vpfe. e13 i/o/z dv dd18 c_we in vpfe mode, it is the ccd controller write enable input c_we. gpio4/ this pin is multiplexed between gpio, the vpfe, and the vpbe. r0/ b14 i/o/z dv dd18 in vpfe mode, it is ccdc field identification bidirectional signal c_field. c_field table 2-20. vpbe terminal functions signal type (1) other (2) (3) description name no. video out (vpbe) ipd hsync c17 i/o/z vpbe horizontal sync signal that can be either an input or an output. dv dd18 ipd vsync c18 i/o/z vpbe vertical sync signal that can be either an input or an output. dv dd18 vclk d19 i/o/z dv dd18 vpbe clock output ipd vpbeclk c19 i/o/z vpbe clock input dv dd18 cout0/ this pin is multiplexed between arm boot mode and the vpbe. ipd b3/ a16 i/o/z after reset, this pin is either video encoder outputs cout0, or dv dd18 btsel0 rgb666/888 blue output data bits 3, b3. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal device overview 44 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-20. vpbe terminal functions (continued) signal type (1) other (2) (3) description name no. cout1/ this pin is multiplexed between arm boot mode and the vpbe. ipd b4/ b16 i/o/z after reset, this pin is either video encoder outputs cout1, or dv dd18 btsel1 rgb666/888 blue output data bits 4, b4. cout2/ this pin is multiplexed between emifa and the vpbe. ipd b5/ a17 i/o/z after reset, it is video encoder output cout2 or rgb666/888 blue output dv dd18 em_width data bit 5 b5. cout3/ this pin is multiplexed between dsp boot and the vpbe. ipd b6/ b17 i/o/z after reset, it is video encoder output cout3 or rgb666/888 blue data bit dv dd18 dsp_bt 6 output b6. cout4/ a18 o dv dd18 video encoder output cout4 or rgb666/888 blue data bit 7 output b7. b7 cout5/ b18 o dv dd18 video encoder output cout5 or rgb666/888 green data bit 2 output g2. g2 cout6/ b19 o dv dd18 video encoder output cout6 or rgb666/888 green data bit 3 output g3. g3 cout7/ c16 o dv dd18 video encoder output cout7 or rgb666/888 green data bit 4 output g4. g4 yout0/ ipd g5/ d15 i/o/z dv dd18 aeaw0 yout1/ ipd g6/ d16 i/o/z dv dd18 aeaw1 yout2/ these pins are multiplexed between emifa and the vpbe. ipd g7/ d17 i/o/z after reset, these are video encoder outputs yout[0:4] or rgb666/888 dv dd18 aeaw2 red and green data bit outputs g5, g6, g7, r3, and r4. yout3/ ipd r3/ d18 i/o/z dv dd18 aeaw3 yout4/ ipd r4/ e15 i/o/z dv dd18 aeaw4 yout5/ e16 o dv dd18 video encoder output yout5 or rgb666/888 red data bit 5 output r5. r5 yout6/ e17 o dv dd18 video encoder output yout6 or rgb666/888 red data bit 6 output r6. r6 yout7/ e18 o dv dd18 video encoder output yout7 or rgb666/888 red data bit 7 output r7. r7 gpio0/ this pin is multiplexed between gpio and the vpbe. c13 i/o/z dv dd18 lcd_oe in vpbe mode, it is the lcd output enable lcd_oe. gpio2/ this pin is multiplexed between gpio and the vpbe. d13 i/o/z dv dd18 g0 in vpbe mode, it is rgb888 green data bit 0 output g0. gpio3/ this pin is multiplexed between gpio, and the vpbe. b0/ c14 i/o/z dv dd18 in vpbe mode, it is rgb888 blue data bit 0 output b0 or lcd interlaced lcd_field bidirectional lcd_field. gpio4/ this pin is multiplexed between gpio, the vpfe, and the vpbe. r0/ b14 i/o/z dv dd18 in vpbe mode, it is rgb888 red data bit 0 output r0. c_field gpio5/ this pin is multiplexed between gpio and the vpbe. e14 i/o/z dv dd18 g1 in vpbe mode, it is rgb888 green data bit 1 output g1. gpio6/ this pin is multiplexed between gpio and the vpbe. a14 i/o/z dv dd18 b1 in vpbe mode, it is rgb888 blue data bit 1 output b1. gpio38/ this pin is multiplexed between vpbe and gpio. d14 i/o/z dv dd18 r1 in vpbe mode, it is rgb888 red output data bit 1. pwm1/ this pin is multiplexed between pwm1, vpbe, and gpio. r2/ b15 i/o/z dv dd18 in vpbe mode, it is rgb888 red output bit 2 (r2). gpio46 submit documentation feedback device overview 45
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-20. vpbe terminal functions (continued) signal type (1) other (2) (3) description name no. pwm2/ this pin is multiplexed between pwm2, vpbe, and gpio. b2/ a15 i/o/z dv dd18 in vpbe mode, it is rgb888 blue output bit 2 (b2). gpio47 table 2-21. dac [part of vpbe] terminal functions signal type (1) other (2) (3) description name no. dac[a:d] reference voltage input (0.5 v). when the dac is not used, the dac_vref signal dac_vref r17 a i (3) should be connected to v ss . output of dac a. when the dac is not used, the dac_iout_a signal should be dac_iout_a p19 a o left as a no connect. output of dac b. when the dac is not used, the dac_iout_b signal should be dac_iout_b p18 a o left as a no connect. output of dac c. when the dac is not used, the dac_iout_c signal should be dac_iout_c r19 a o left as a no connect. output of dac d. when the dac is not used, the dac_iout_d signal should be dac_iout_d t19 a o left as a no connect. 1.8-v analog i/o power. when the dac is not used, the v dda_1p8v signal should be v dda_1p8v r18 s (3) connected to v ss . analog i/o ground. when the dac is not used, the v ssa_1p8v signal should be v ssa_1p8v p17 gnd (3) connected to v ss . 1.20-v analog core supply voltage (a-513, -594 devices). when the dac is not v dda_1p1v p16 s (3) used, the v dda_1p1v signal should be connected to v ss . analog core ground. when the dac is not used, the v ssa_1p1v signal should be v ssa_1p1v t18 gnd (3) connected to v ss . external resistor connection for current bias configuration. this pin must be dac_rbias r16 a i (3) connected via a 4-k w resistor to v ssa_1p8v . when the dac is not used, the dac_rbias signal should be connected to v ss . (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal (3) for more information, see the recommended operating conditions table device overview 46 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-22. uart0, uart1, uart2 terminal functions signal type (1) other (2) (3) description name no. uart2 ci7/ ipd this pin is multiplexed between the ccdc and uart2. ccd15/ n19 i/o/z dv dd18 when used by uart2 it is the receive data input uart_rxd2. uart_rxd2 ci6/ ipd this pin is multiplexed between the ccdc and uart2. ccd14/ n18 i/o/z dv dd18 in uart2 mode, it is the transmit data output uart_txd2. uart_txd2 ci5/ ipd this pin is multiplexed between the ccdc and uart2. ccd13/ n17 i/o/z dv dd18 in uart2 mode, it is the clear to send input uart_cts2. uart_cts2 ci4/ ipd this pin is multiplexed between the ccdc and uart2. ccd12/ n16 i/o/z dv dd18 in uart2 mode, it is the ready to send output uart_rts2. uart_rts2 uart1 dmack/ this pin is multiplexed between ata/cf and uart1. h3 i/o/z dv dd18 uart_txd1 for uart1, it is transmit data output uart_txd1. dmarq/ ipd this pin is multiplexed between ata/cf and uart1. g1 i/o/z uart_rxd1 dv dd18 for uart1, it is receive data input uart_rxd1. uart0 uart_rxd0/ this pin is multiplexed between uart0 and gpio. d5 i/o/z dv dd18 gpio35 for uart0, it is receive data input uart_rxd0. uart_txd0/ this pin is multiplexed between uart0 and gpio. . c5 i/o/z dv dd18 gpio36 for uart0, it is transmit data output uart_txd0. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 47
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-23. pwm0, pwm1, pwm2 terminal functions signal type (1) other (2) description name no. pwm2 pwm2/ this pin is multiplexed between pwm2, vpbe, and gpio. b2/ a15 i/o/z dv dd18 for pwm2, it is output pwm2. gpio47 pwm1 pwm1/ this pin is multiplexed between pwm1, vpbe, and gpio. r2/ b15 i/o/z dv dd18 for pwm1, it is output pwm1. gpio46 pwm0 pwm0/ this pin is multiplexed between pwm0 and gpio. c15 i/o/z dv dd18 gpio45 for pwm0, it is output pwm0. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal device overview 48 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-24. ata/cf terminal functions signal type (1) other (2) (3) description name no. ata/cf spi_en1/ this pin is multiplexed between spi, ata, and gpio. hddir/ b2 i/o/z dv dd18 for ata, it is buffer direction control output hddir. gpio42 gpio50/ this pin is multiplexed between gpio and ata/cf. j5 o dv dd18 ata_cs0 in ata mode, it is ata/cf chip select output ata_cs0. gpio51/ this pin is multiplexed between gpio and ata/cf. h1 o dv dd18 ata_cs1 in ata mode, it is ata/cf chip select output ata_cs1. em_r/ w/ this pin is multiplexed between emifa, ata/cf, and hpi. intrq/ g3 i dv dd18 for ata/cf, it is interrupt request input intrq. h/ w em_wait/ (rdy/ bsy)/ ipu this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. f1 i iordy/ dv dd18 for ata/cf, it is io ready input iordy. hrdy em_oe/ ( re)/ this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iord)/ h4 o dv dd18 for cf, it is read strobe output ( iord). dior/ for ata, it is read strobe output dior. hds1 em_we ( we) this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iowr)/ g2 o dv dd18 for cf, it is write strobe output ( iowr). diow/ for ata, it is write strobe output diow. hds2 dmack/ this pin is multiplexed between ata/cf and uart1. h3 o dv dd18 uart_txd1 for ata/cf, it is dma acknowledge output dmack. dmarq/ ipd this pin is multiplexed between ata/cf and uart1. g1 o uart_rxd1 dv dd18 for ata/cf, it is dma request dmarq input. (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 49
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-24. ata/cf terminal functions (continued) signal type (1) other (2) (3) description name no. em_d15/ dd15/ e1 hd15 em_d14/ dd14/ h5 hd14 em_d13/ dd13/ f2 hd13 em_d12/ dd12/ d1 hd12 em_d11/ dd11/ g4 hd11 em_d10/ dd10/ g5 hd10 em_d9/ dd9/ e2 hd9 em_d8/ dd8/ f3 these pins are multiplexed between emifa (nand), ata/cf, and hpi. in all cases hd8 i/o/z dv dd18 they are used as a 16 bit bi-directional data bus. em_d7/ for ata/cf, these are dd[15:0]. dd7/ c1 hd7 em_d6/ dd6/ f4 hd6 em_d5/ dd5/ d2 hd5 em_d4/ dd4/ e4 hd4 em_d3/ dd3/ e3 hd3 em_d2/ dd2/ f5 hd2 em_d1/ dd1/ d3 hd1 em_d0/ dd0/ e5 hd0 em_a[0]/ da2/ this pin is multiplexed between emifa, ata/cf, hpi, and gpio. j4 i/o/z dv dd18 hcntl1/ for ata/cf, it is device address bit 2 output da2. gpio53 em_ba[1]/ this pin is multiplexed between emifa, ata/cf, and gpio. da1/ h2 i/o/z dv dd18 for ata/cf, it is device address bit 1 output da1. gpio52 em_ba[0]/ this pin is multiplexed between emifa, ata/cf, hpi. da0/ j3 i/o/z dv dd18 for ata/cf, it is device address bit 0 output da0. hint device overview 50 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-25. mmc/sd/sdio terminal functions signal type (1) other (2) description name no. mmc/sd/sdio sd_clk a9 o dv dd33 data clock output sd_clk sd_cmd b9 i/o/z dv dd33 bi-directional command io sd_cmd sd_data3 c9 i/o/z sd_data2 d9 i/o/z dv dd33 these pins are the nibble wide bi-directional data bus sd_data[3:0]. sd_data1 e9 i/o/z sd_data0 d8 i/o/z (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal submit documentation feedback device overview 51
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-26. hpi terminal functions signal type (1) other (2) (3) description name no. host-port interface (hpi) for emifa, this pin is chip select 3 output. em_cs3 b1 i/o/z dv dd18 in hpi mode this pin must be pulled high via an external 10-k w resistor. em_ba[0]/ this pin is multiplexed between emifa, ata/cf, and hpi. da0/ j3 i/o/z dv dd18 in hpi mode, it is the host interrupt output hint. hint em_a[0]/ this pin is multiplexed between emifa, ata/cf, hpi, and gpio. da2/ for hpi, it is control input hcntl1. the state of hcntl1 and hcntl0 determine j4 i/o/z dv dd18 hcntl1/ if address, data, or control information is being transmitted between an external gpio53 host and dm644x. this pin is multiplexed between emifa (nand/smartmedia/xd), and hpi. em_a[2]/ in hpi mode, it is control input hcntl0. the state of hcntl1 and hcntl0 (cle)/ j1 i/o/z dv dd18 determine if address, data, or control information is being transmitted between an hcntl0 external host and dm644x. em_a[1]/ this pin is multiplexed between emifa (nand/smartmedia/xd), and hpi. (ale)/ j2 i/o/z dv dd18 in hpi mode, it is half-word identification input hhwil. hhwil em_r/ w/ this pin is multiplexed between emifa, ata/cf, and hpi. intrq/ g3 i/o/z dv dd18 for hpi, it is the host read write input hr/ w. this signal is active high for reads hr/ w and low for writes. em_cs2/ this pin is multiplexed between emifa and hpi. c2 i/o/z dv dd18 hcs in hpi mode, this pin is hpi active low chip select input hcs. em_we ( we) this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iowr)/ g2 i/o/z dv dd18 for hpi, it is data strobe 2 input hds2. diow/ hds2 em_oe/ ( re)/ this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. ( iord)/ h4 i/o/z dv dd18 for hpi, it is data strobe 1 input hds1. dior/ hds1 em_wait/ (rdy/ bsy)/ ipu this pin is multiplexed between emifa (nand/smartmedia/xd), ata/cf, and hpi. f1 i/o/z iordy/ dv dd18 for hpi, it is ready output hrdy. hrdy (1) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (2) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (3) specifies the operating i/o supply voltage for each signal 52 device overview submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-26. hpi terminal functions (continued) signal type (1) other (2) (3) description name no. em_d15/ dd15/ e1 hd15 em_d14/ dd14/ h5 hd14 em_d13/ dd13/ f2 hd13 em_d12/ dd12/ d1 hd12 em_d11/ dd11/ g4 hd11 em_d10/ dd10/ g5 hd10 em_d9/ dd9/ e2 hd9 em_d8/ dd8/ f3 these pins are multiplexed between emifa (nand), ata/cf, and hpi. hd8 i/o/z dv dd18 in hpi mode, these are hd[15:0] and are multiplexed internally with the hpi em_d7/ address lines. dd7/ c1 hd7 em_d6/ dd6/ f4 hd6 em_d5/ dd5/ d2 hd5 em_d4/ dd4/ e4 hd4 em_d3/ dd3/ e3 hd3 em_d2/ dd2/ f5 hd2 em_d1/ dd1/ d3 hd1 em_d0/ dd0/ e5 hd0 submit documentation feedback device overview 53
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-27. timer 0, timer 1, and timer 2 terminal functions signal type (1) other (2) description name no. timer 2 and timer 1 no external pins. the timer 2 and timer 1 peripheral pins are not pinned out as external pins. timer 0 clk_out1/ this pin is multiplexed between the usb clock generator, timer, and gpio. tim_in/ e19 i/o/z dv dd18 for timer0, it is the timer event capture input tim_in. gpio49 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) specifies the operating i/o supply voltage for each signal table 2-28. reserved terminal functions signal type (1) other (2) (3) description name no. reserved rsv1 a1 reserved. (leave unconnected, do not connect to power or ground) rsv2 a19 reserved. (leave unconnected, do not connect to power or ground) rsv3 w1 reserved. (leave unconnected, do not connect to power or ground) rsv4 w19 reserved. (leave unconnected, do not connect to power or ground) ipd rsv5 d4 i reserved. this pin must be tied directly to v ss for normal device operation. v ss rsv6 l3 a o reserved. (leave unconnected, do not connect to power or ground) rsv7 r8 a reserved. (leave unconnected, do not connect to power or ground) rsv24 m3 s reserved. (leave unconnected, do not connect to power or ground) (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal (2) ipd = internal pulldown, ipu = internal pullup. (to pull up a signal to the opposite supply rail, a 1-k w resistor should be used.) (3) specifies the operating i/o supply voltage for each signal table 2-29. supply terminal functions signal type (1) other description name no. supply voltage pins f10 f11 3.3 v i/o supply voltage dv dd33 s (see the power-supply decoupling section of this data manual) f12 f13 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal 54 device overview submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-29. supply terminal functions (continued) signal type (1) other description name no. n5 g15 f14 j15 h14 k14 m14 l13 g9 1.8 v i/o supply voltage dv dd18 s (see the power-supply decoupling section of this data manual) f8 e7 g7 j7 l7 f6 h6 k6 m6 t5 p6 n7 p8 n9 r9 p10 1.8 v ddr2 i/o supply voltage dv ddr2 s (see the power-supply decoupling section of this data manual) n11 r11 p12 n13 r13 p14 r15 f15 k12 m12 l11 m10 1.20 v core supply voltage (a-513, -594 devices) cv dd s (see the power-supply decoupling section of this data manual) l10 k10 l9 l8 m8 submit documentation feedback device overview 55
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-29. supply terminal functions (continued) signal type (1) other description name no. j13 h12 h11 j11 k11 1.20 v dspss supply voltage (a-513, -594 devices) cv dddsp j10 s (see the power-supply decoupling section of this data manual) h10 j9 k9 k8 h8 device overview 56 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 2-30. ground terminal functions signal type (1) other description name no. ground pins k5 m5 g6 j6 l6 n6 r6 f7 h7 k7 m7 p7 r7 e8 g8 j8 n8 f9 h9 v ss m9 gnd ground pins p9 g10 n10 r10 g11 m11 p11 g12 j12 n12 l12 r12 g13 h13 k13 m13 p13 g14 j14 (1) i = input, o = output, z = high impedance, s = supply voltage, gnd = ground, a = analog signal submit documentation feedback device overview 57
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 2-30. ground terminal functions (continued) signal type (1) other description name no. l14 n14 r14 v ss gnd ground pins h15 k15 p15 device overview 58 submit documentation feedback
2.8 device support 2.8.1 development support 2.8.2 device and development-support tool nomenclature tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 ti offers an extensive line of development tools for the tms320dm644x soc platform, including tools to evaluate the performance of the processors, generate code, develop algorithm implementations, and fully integrate and debug software and hardware modules. the tool's support documentation is electronically available within the code composer studio? integrated development environment (ide). the following products support development of tms320dm644x soc-based applications: software development tools: code composer studio? integrated development environment (ide): including editor c/c++/assembly code generation, and debug plus additional development tools scalable, real-time foundation software (dsp/bios?), which provides the basic run-time target software needed to support any soc application. hardware development tools: extended development system (xds?) emulator for a complete listing of development-support tools for the tms320dm644x soc platform, visit the texas instruments web site on the worldwide web at http://www.ti.com uniform resource locator (url). for information on pricing and availability, contact the nearest ti field sales office or authorized distributor. to designate the stages in the product development cycle, ti assigns prefixes to the part numbers of all dsp devices and support tools. each dsp commercial family member has one of three prefixes: tmx, tmp, or tms (e.g., tmx320dm6446zwt). texas instruments recommends two of three possible prefix designators for its support tools: tmdx and tmds. these prefixes represent evolutionary stages of product development from engineering prototypes (tmx/tmdx) through fully qualified production devices/tools (tms/tmds). device development evolutionary flow: tmx experimental device that is not necessarily representative of the final device's electrical specifications. tmp final silicon die that conforms to the device's electrical specifications but has not completed quality and reliability verification. tms fully-qualified production device. support tool development evolutionary flow: tmdx development-support product that has not yet completed texas instruments internal qualification testing. tmds fully qualified development-support product. tmx and tmp devices and tmdx development-support tools are shipped against the following disclaimer: "developmental product is intended for internal evaluation purposes." tms devices and tmds development-support tools have been characterized fully, and the quality and reliability of the device have been demonstrated fully. ti's standard warranty applies. submit documentation feedback device overview 59
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com predictions show that prototype devices (tmx or tmp) have a greater failure rate than the standard production devices. texas instruments recommends that these devices not be used in any production system because their expected end-use failure rate still is undefined. only qualified production devices are to be used. ti device nomenclature also includes a suffix with the device family name. this suffix indicates the package type (for example, zwt), the temperature range (for example, "blank" is the commercial temperature range), and the device speed range in megahertz (for example, "blank" is the default [594-mhz dsp, 297-mhz arm9]). figure 2-6 provides a legend for reading the complete device name for any tms320dm644x soc platform member. figure 2-6. device nomenclature 60 device overview submit documentation feedback dm644x dmsoc: dm6443dm6446 prefix device speed range tms 320 dm6446 zwt ( ) tmx = experimental devicetms = qualified device device f amily 320 = tms320  dsp family p ackage type (a) zwt = 361-pin plastic bga, with pb-free soldered balls device (b) tempera ture range (def ault : 0 c t o 85 c) ( ) blank = 0 c to 85 c, commercial temperature a = ?40 c to 105 c, extended temperature blank = 594?mhz dsp , 297?mhz arm9 [commercial temperature] blank = 513?mhz dsp , 256.5?mhz arm9 [extended temperature] a. bga = ball grid array b. for actual device part numbers (p/ns) and ordering information, see the ti website (http://www .ti.com). ( ) silicon revision blank = silicon 1.3 a = silicon 2.1
2.8.3 documentation support 2.8.3.1 related documentation from texas instruments tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the following documents describe the tms320dm644x digital media system-on-chip (dmsoc). copies of these documents are available on the internet at www.ti.com . tip: enter the literature number in the search box provided at www.ti.com. the current documentation that describes the dm644x dmsoc, related peripherals, and other technical collateral, is available in the c6000 dsp product folder at: www.ti.com/c6000 . sprue14 tms320dm644x dmsoc arm subsystem reference guide. describes the arm subsystem in the tms320dm644x digital media system-on-chip (dmsoc). the arm subsystem is designed to give the arm926ej-s (arm9) master control of the device. in general, the arm is responsible for configuration and control of the device; including the dsp subsystem, the video processing subsystem, and a majority of the peripherals and external memories. sprue15 tms320dm644x dmsoc dsp subsystem reference guide. describes the digital signal processor (dsp) subsystem in the tms320dm644x digital media system-on-chip (dmsoc). sprue19 tms320dm644x dmsoc peripherals overview reference guide. provides an overview and briefly describes the peripherals available on the tms320dm644x digital media system-on-chip (dmsoc). spraa84 tms320c64x to tms320c64x+ cpu migration guide. describes migrating from the texas instruments tms320c64x digital signal processor (dsp) to the tms320c64x+ dsp. the objective of this document is to indicate differences between the two cores. functionality in the devices that is identical is not included. spru732 tms320c64x/c64x+ dsp cpu and instruction set reference guide. describes the cpu architecture, pipeline, instruction set, and interrupts for the tms320c64x and tms320c64x+ digital signal processors (dsps) of the tms320c6000 dsp family. the c64x/c64x+ dsp generation comprises fixed-point devices in the c6000 dsp platform. the c64x+ dsp is an enhancement of the c64x dsp with added functionality and an expanded instruction set. spru871 tms320c64x+ dsp megamodule reference guide. describes the tms320c64x+ digital signal processor (dsp) megamodule. included is a discussion on the internal direct memory access (idma) controller, the interrupt controller, the power-down controller, memory protection, bandwidth management, and the memory and cache. spraaa6 edma v3.0 (edma3) migration guide for tms320dm644x dmsoc. describes migrating from the texas instruments tms320c64x digital signal processor (dsp) enhanced direct memory access (edma2) to the tms320dm644x digital media system-on-chip (dmsoc) edma3. this document summarizes the key differences between the edma3 and the edma2 and provides guidance for migrating from edma2 to edma3. submit documentation feedback device overview 61
3 device configurations 3.1 system module registers 3.2 power considerations 3.2.1 power configurations at reset tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the system module includes status and control registers required for configuration of the device. brief descriptions of the various registers are shown in table 3-1 . system module registers required for device configurations are discussed in the following sections. table 3-1. system module register memory map hex address range register acronym description 0x01c4 0000 pinmux0 pin multiplexing control 0. for details, see section 3.5.4 , pinmux0 register description. 0x01c4 0004 pinmux1 pin multiplexing control 1. for details, see section 3.5.5 , pinmux1 register description. 0x01c4 0008 dspbootaddr boot address of dsp. for details, see section 3.3.1.2 , dspbootaddr register description. 0x01c4 000c suspsrc emulator suspend source. for details, see section 3.6 , emulation control. 0x01c4 0010 intgen arm/dsp interrupt status and control. for details, see section 6.7.3 , arm/dsp communications interrupts. 0x01c4 0014 bootcfg device boot configuration. for details, see section 3.3.1.1 , bootcfg register description. 0x01c4 0018 - 0x01c4 0027 ? reserved. 0x01c4 0028 jtagid jtagid/device id number. for details, see section 6.25.1 , jtag id register description. 0x01c4 002c ? reserved. 0x01c4 0030 hpi_ctl hpi control. for details, see section 3.5.6.10 , hpi and emifa/ata pin multiplexing. 0x01c4 0034 usbphy_ctl usb phy control. for details, see section 6.15.1 , usbphy_ctl register description. 0x01c4 0038 chp_shrtsw chip shorting switch control. for details, see section 3.2.1 , power configurations at reset. 0x01c4 003c mstpri0 bus master priority control 0. for details, see section 3.5.1 , switched central resource (scr) bus priorities. 0x01c4 0040 mstpri1 bus master priority control 1. for details, see section 3.5.1 , switched central resource (scr) bus priorities. 0x01c4 0044 vpss_clkctl vpss clock control. 0x01c4 0048 vdd3p3v_pwdn vdd 3.3v i/o powerdown control. for details, see section 3.2.2 , power configurations after reset. 0x01c4 004c drrvtper enables access to the ddr2 vtp register. 0x01c4 0050 - 0x01c4 006f ? reserved. global device power domains are controlled by the power and sleep controller, except as shown in the following sections. as described in the dm6446 power and clock domains section, the dm6446 has two power domains: always on and dsp. there is a shorting switch between the two power domains that must be opened when the dsp domain is powered off and closed when the dsp domain is powered on. the chp_shrtsw register, shown in figure 3-1 , controls the shorting switch between the device always-on and dsp power domains. this switch should be enabled after powering-up the dsp domain. device configurations 62 submit documentation feedback
3.2.2 power configurations after reset 3.3 bootmode 3.3.1 bootmode registers tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 setting the dsppwron bit to '1? closes (enables) the switch and enables the dsp power domain. the default switch value is determined by the dsp_bt configuration input. if dsp self boot is selected (dsp_bt=1), the dsp will be powered-up and dsppwron will be set to a value of '1'. for arm boot operation (dsp_bt=0), dsppwron will be set to the disable value of '0' and must be set by the arm before the dsp domain power is turned on. note: once the dsp power domain is enabled (powered up), it cannot be disabled (powered down). dynamic power down of the dsp is not supported on this device. figure 3-1. chp_shrtsw register 31 1 0 reserved dsppwron r-0000 0000 0000 0000 0000 0000 0000 000 r/w-l legend: r = read, w = write, n = value at reset, l = pin state latched at reset rising table 3-2. chp_shrtsw register description name description dsppwron dsp power domain enable. 0 = shorting switch open 1 = shorting switch closed the vdd3p3v_pwdn register controls power to the 3.3v i/o buffers for mmc/sd/sdio and gpiov33. the 3.3v i/os are separated into two groups for independent control as shown in figure 3-2 and described in table 3-3 . by default, these pins are all disabled at reset. figure 3-2. vdd3p3v_pwdn register 31 2 1 0 reserved iopwdn1 iopwdn0 r-0000 0000 0000 0000 0000 0000 0000 00 r/w-1 r/w-1 legend: r = read, w = write, n = value at reset table 3-3. vdd3p3v_pwdn register description name description iopwdn0 giov33 i/o powerdown controls giov33[16:0] pins. 0 = i/o buffers powered up 1 = i/o buffers powered down iopwdn1 mmc/sd/sdio i/o powerdown controls sd_clk, sd_cmd, sd_data[3:0] pins. 0 = i/o buffers powered up 1 = i/o buffers powered down the device is booted through multiple means: pin states captured at reset, primary bootloaders within internal rom or emifa, and secondary user bootloaders from peripherals or external memories. boot modes, pin configurations, and register configurations required for booting the device, are described in the following sections. the bootcfg and dspbootaddr registers are described in the following sections. at reset, the status of various pins required for proper boot are stored within these registers. submit documentation feedback device configurations 63
3.3.1.1 bootcfg register description 3.3.1.2 dspbootaddr register description 3.3.2 arm boot tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the bootcfg register (located at address 0x01c4 000a) contains the status values of the btsel1, btsel0, dsp_bt, em_width, and aeaw[4:0] pins captured at the rising edge of reset. the register format is shown in figure 3-3 and bit field descriptions are shown in table 3-4 . the captured bits are software readable after reset. figure 3-3. bootcfg register 31 9 8 7 6 5 4 3 2 1 0 reserved dsp_bt btsel em_width daeaw r-0000 0000 0000 0000 0000 000 r-l r-ll r-l r-lllll legend: r = read; w = write; l = pin state latched at reset rising; - n = value after reset table 3-4. bootcfg register description name description btsel arm boot mode selection pin states (btsel1, btsel0) captured at the rising edge of reset. ?00? indicates arm boots from rom (nand flash). ?01? indicates that arm boots from emifa (nor flash). ?10? indicates that arm boots from rom (hpi). ?11? indicates that arm boots from rom (uart0). dsp_bt dsp boot mode selection pin state captured at the rising edge of reset. ?0? sets arm boot of c64x+. ?1? sets c64x+ self boot. em_width emifa data bus width selection pin state captured at the rising edge of reset. ?0? sets emifa to 8 bit data bus width ?1? sets emifa to 16 bit data bus width. daeaw emifa address bus width selection pin states (aeaw[4:0]) captured at the rising edge of reset. this configures emifa address pins multiplexed with gpio. see table 3-9 ,table 3-10 , and table 3-11 the dspbootaddr register contains the upper 22 bits of the c64x+ dsp reset vector. the register format is shown in figure 3-4 and bit field descriptions are shown in table 3-5 . dspbootaddr is readable and writable by software after reset. figure 3-4. dspbootaddr registers 31 10 9 0 bootaddr[21:0] reserved r- 0100 0010 0010 0000 0000 00 r-00 0000 0000 legend: r/w = read/write; r = read only; - n = value after reset table 3-5. dspbootaddr register description name description bootaddr[21:0] upper 22 bits of the c64x+ dsp boot address. the dm6446 arm can boot from emifa, internal rom (nand), uart0, or hpi, as determined by the setting of the btsel[1:0] pins. the btsel[1:0] pins are read by the arm rom boot loader (rbl) to further define the rom boot mode. the arm boot modes are summarized in table 3-6 . device configurations 64 submit documentation feedback
3.3.3 dsp boot tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-6. arm boot modes btsel1 btsel0 boot mode arm reset brief description vector 0 0 arm nand rbl 0x0000 4000 up to 14 k-bytes secondary boot loader through nand with up to 2 k-bytes page sizes. 0 1 arm emifa external boot 0x0200 0000 emifa em_cs2 external memory space. 1 0 arm hpi rbl 0x0000 4000 up to 14 k-btyes secondary boot loader through an external host. 1 1 arm uart rbl 0x0000 4000 up to 14 k-bytes secondary boot loader through uart0. when the btsel[1:0] pins are set to the arm emifa external boot ("01"), the arm immediately begins executing code from the emifa em_cs2 memory space (0x0200 0000). when the btsel[1:0] pins indicate a condition other than the arm emifa external boot (!01), the rbl begins execution. arm nand boot mode has the following features: loads a secondary user boot loader (ubl) from nand flash to arm internal ram (aim) and transfers control to the user software. support for nand with page sizes up to 2048 bytes. support for error correction when loading ubl support for up to 14kb ubl optional, user selectable, support for use of dma, i-cache, and pll enable while loading ubl arm uart boot mode has the following features: loads a secondary ubl via uart0 to aim and transfers control to the user software. support for up to 14kb ubl arm hpi boot mode has the following features: no support for a full firmware boot. instead, waits for external host to load a secondary ubl via hpi to aim and transfers control to the user software. support for up to 14kb ubl. for further details on the rom bootloader, refer to the arm subsystem users guide. for c64x+ booting, the state of the dsp_bt pin is sampled at reset. if dsp_bt is low, the arm will be the master of c64x+ and control booting (host boot mode). if dsp_bt is high, the c64x+ will boot itself coming out of device reset (self-boot mode). table 3-7 shows a summary of the dsp boot modes. table 3-7. dsp boot modes dsp_bt dsp arm dspbootaddr brief description boot mode boot mode register value 0 host boot internal boot programmable arm sets an internal dsp memory location in dspbootaddr register where valid dsp code resides and loads code to this internal dsp memory through dma prior to releasing dsp reset. 0 host boot external boot programmable arm sets an external dsp memory location in dspbootaddr register (emifa or ddr2) where valid dsp code resides prior to releasing dsp reset. 1 self boot any, except hpi 0x4220 0000 default emifa base address 1 host boot hpi programmable arm sets a dsp memory location in the dspbootaddr register. hpi loads code into the dm6446 memory map with the entry point set to the memory location specified in the dspbootaddr register. once the hpi completes loading the code, the arm should release the dsp from reset. submit documentation feedback device configurations 65
3.3.3.1 self-boot mode tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com in self-boot mode, the c64x+ power domain is turned on and the c64x+ dsp is released from reset without arm intervention. the c64x+ begins execution from the default emifa address (0x4220 0000) contained within the dspbootaddr register. the c64x+ begins execution with instruction (l1p) cache enabled. 66 device configurations submit documentation feedback
3.4 configurations at reset 3.4.1 device configuration at device reset 3.4.2 peripheral selection at device reset tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the following sections give information on configuration settings for the device at reset. table 3-8 shows a summary of device inputs required for booting the arm and dsp, and configuring emifa data and address bus widths for proper operation of the device at the rising edge of the reset input. table 3-8. device configurations (input pins sampled at reset) device signals device signal name sampled description after reset at reset btsel[1:0] cout[1:0] arm boot mode selection pins. ?00? indicates arm boots from rom (nand flash). ?01? indicates that arm boots from emifa (nor flash). ?10? indicates that the arm boots from the hpi (rom) ?11? indicates that arm boots from rom (uart0). dsp_bt cout3 dsp boot mode selection pin. ?0? sets arm boot of c64x+. ?1? sets c64x+ self boot. em_width cout2 emifa data bus width selection pin. ?0? sets emifa to 8-bit data bus width ?1? sets emifa to 16-bit data bus width. aeaw[4:0] yout[4:0] emifa address bus width selection pins for emifa address pins multiplexed with gpio. see table 3-9 , table 3-10 , and table 3-11 for details. as briefly mentioned in table 3-8 , the state of the aeaw[4:0] pins captured at reset configures the number of emifa address pins required for device boot. these values are stored in the aeaw field of the pinmux0 register. at reset, this provides proper addressing for external boot. unused address pins are available for use as gpio. the register settings are software programmable after reset. table 3-9 , table 3-10 , and table 3-11 show the aeaw[4:0] bit settings and the corresponding multiplexing for emifa address and gpio pins. the number of emifa address bits enabled is configurable from 0 to 23. em_ba[1] and em_a[21:0] pins that are not assigned to another peripheral and not enabled as address signals become gpio pins. the enabled address pins are always contiguous from em_ba[1] upwards and address bits cannot be skipped. the exception to this are the em_a[2:1] pins. em_a[2:1] are usable as the ale and cle signals for the nand flash mode of emifa and are always enabled as emifa pins. if an address width of 0 is selected, this still allows a nand flash to be accessed. also, selecting an address width of 2, 3, or 4 (aeaw[4:0] = 00010, 00011, or 00100) always results in 4 address outputs. for these and other address bit enable settings, see table 3-9 , table 3-10 , and table 3-11 . submit documentation feedback device configurations 67
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 3-9. gpio and emifa multiplexing (part 1) pin mux register aeaw[4:0] bit settings 00000 00001 00010 00011 00100 00101 00110 00111 (default) gpio[52] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] gpio[53] gpio[53] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] gpio[28] gpio[28] gpio[28] gpio[28] gpio[28] em_a[3] em_a[3] em_a[3] gpio[27] gpio[27] gpio[27] gpio[27] gpio[27] gpio[27] em_a[4] em_a[4] gpio[26] gpio[26] gpio[26] gpio[26] gpio[26] gpio[26] gpio[26] em_a[5] gpio[25] gpio[25] gpio[25] gpio[25] gpio[25] gpio[25] gpio[25] gpio[25] gpio[24] gpio[24] gpio[24] gpio[24] gpio[24] gpio[24] gpio[24] gpio[24] gpio[23] gpio[23] gpio[23] gpio[23] gpio[23] gpio[23] gpio[23] gpio[23] gpio[22] gpio[22] gpio[22] gpio[22] gpio[22] gpio[22] gpio[22] gpio[22] gpio[21] gpio[21] gpio[21] gpio[21] gpio[21] gpio[21] gpio[21] gpio[21] gpio[20] gpio[20] gpio[20] gpio[20] gpio[20] gpio[20] gpio[20] gpio[20] gpio[19] gpio[19] gpio[19] gpio[19] gpio[19] gpio[19] gpio[19] gpio[19] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] device configurations 68 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-10. gpio and emifa multiplexing (part 2) pin mux register aeaw[4:0] bit settings 01000 01001 01010 01011 01100 01101 01110 01111 em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] gpio[24] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] gpio[23] gpio[23] em_a[8] em_a[8] em_a[8] em_a[8] em_a[8] em_a[8] gpio[22] gpio[22] gpio[22] em_a[9] em_a[9] em_a[9] em_a[9] em_a[9] gpio[21] gpio[21] gpio[21] gpio[21] em_a[10] em_a[10] em_a[10] em_a[10] gpio[20] gpio[20] gpio[20] gpio[20] gpio[20] em_a[11] em_a[11] em_a[11] gpio[19] gpio[19] gpio[19] gpio[19] gpio[19] gpio[19] em_a[12] em_a[12] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] gpio[18] em_a[13] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[17] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[16] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[15] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[14] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[13] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] submit documentation feedback device configurations 69
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 3-11. gpio and emifa multiplexing (part 3) pin mux register aeaw[4:0] bit settings 10000 10001 10010 10011 10100 10101 10110 others em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_ba[1] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[0] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[1] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[2] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[3] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[4] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[5] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[6] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] em_a[7] em_a[8] em_a[8] em_a[8] em_a[8] em_a[8] em_a[8] em_a[8] em_a[8] em_a[9] em_a[9] em_a[9] em_a[9] em_a[9] em_a[9] em_a[9] em_a[9] em_a[10] em_a[10] em_a[10] em_a[10] em_a[10] em_a[10] em_a[10] em_a[10] em_a[11] em_a[11] em_a[11] em_a[11] em_a[11] em_a[11] em_a[11] em_a[11] em_a[12] em_a[12] em_a[12] em_a[12] em_a[12] em_a[12] em_a[12] em_a[12] em_a[13] em_a[13] em_a[13] em_a[13] em_a[13] em_a[13] em_a[13] em_a[13] em_a[14] em_a[14] em_a[14] em_a[14] em_a[14] em_a[14] em_a[14] em_a[14] gpio[16] em_a[15] em_a[15] em_a[15] em_a[15] em_a[15] em_a[15] em_a[15] gpio[15] gpio[15] em_a[16] em_a[16] em_a[16] em_a[16] em_a[16] em_a[16] gpio[14] gpio[14] gpio[14] em_a[17] em_a[17] em_a[17] em_a[17] em_a[17] gpio[13] gpio[13] gpio[13] gpio[13] em_a[18] em_a[18] em_a[18] em_a[18] gpio[12] gpio[12] gpio[12] gpio[12] gpio[12] em_a[19] em_a[19] em_a[19] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] gpio[11] em_a[20] em_a[20] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] gpio[10] em_a[21] device configurations 70 submit documentation feedback
3.5 configurations after reset 3.5.1 switched central resource (scr) bus priorities tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the following sections give the details on configuring the device after reset. prioritization within the switched central resource (scr) is programmable for each master. the register bit fields and default priority levels for dm6446 bus masters are shown in table 3-12 . the priority levels should be tuned to obtain the best system performance for a particular application. lower values indicate higher priority. for most masters, their priority values are programmed at the system level by configuring the mstpri0 and mstpri1 registers. details on the mstpri0/1 registers are shown in figure 3-5 and figure 3-6 . the c64x+, vpss, and edma masters contain registers that control their own priority values. table 3-12. dm6446 default bus master priorities bus priority bit field master default priority level vpssp vpss 0 (vpss pcr register) edmatc0p edmatc0 0 (edmacc quepri register) edmatc1p edmatc1 0 (edmacc quepri register) arm_dmap arm (dma) 1 (mstpri0 register) arm_cfgp arm (cfg) 1 (mstpri0 register) c64x+_dmap c64x+ 7 (c64x+ mdmaarbe.pri register bit field) (dma) c64x+_cfgp c64x+ 1 (mstpri0 register) (cfg) emacp emac 4 (mstpri1 register) usbp usb 4 (mstpri1 register) atap ata/cf 4 (mstpri1 register) vlynqp vlynq 4 (mstpri1 register) hpip hpi 4 figure 3-5. mstpri0 register 31 19 18 16 reserved vicpp (1) r-0000 0000 0000 0 r/w-101 15 11 10 8 7 6 4 3 2 0 reserved c64x+_cfgp rsv arm_cfgp rsv arm_dmap r-0000 0 r/w-001 r-0 r/w-001 r-0 r/w-001 legend: r = read; w = write; - n = value after reset (1) the vicpp bit field is configured by the third-party software. when modifying the mstpri0 register a read/modify/write must be performed to preserve the configuration set by the third-party software. figure 3-6. mstpri1 register 31 23 22 20 19 18 16 reserved reserved rsv vlynqp r-0000 0000 0 r-100 r-0 r/w-100 15 14 12 11 10 8 7 6 4 3 2 0 rsv atap rsv usbp rsv reserved rsv emacp r-0 r/w-100 r-0 r/w-100 r-0 r-100 r-0 r/w-100 legend: r = read; w = write; - n = value after reset submit documentation feedback device configurations 71
3.5.2 multiplexed pin configurations tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com there are numerous multiplexed pins that are shared by more than one peripheral. some of these pins are configured by external pullup/pulldown resistors only at reset, and others are configured by software. as described in detail in section 3.4.1 and section 3.4.2 , hardware configurable multiplexed pins are programmed by external pullup/pulldown resistors at reset to set the initial functionality of pins for use by a single peripheral. after reset, software configurable multiplexed pins are programmable through memory mapped registers (mmr) to allow the switching of pin functionalities during run-time. see section 3.5.3 for more details on the register settings. a summary of the pin multiplexing is shown in table 3-13 . the emac peripheral shares pins with the 3.3v gpio pins. the vlynq pins overlap upper emifa address pins resulting in a reduced emifa address range as the vlynq width is increased. the ata peripheral shares data lines and some control signals with emifa. the ata dma pins are multiplexed with uart1. the asp, uart0/1/2, spi, i2c, and pwm0/1/2 all default to gpio pins when not enabled. the vpbe function of the vpss requires additional pins to implement the rgb888 mode. these are multiplexed with gpios. table 3-13. dm6446 multiplexed peripheral pins and multiplexing controls primary secondary tertiary multiplexed secondary (1) tertiary (2) (default) register/pin (3) register/pin (3) peripherals function function function control control emifa (nand), hpi emifa (nand): hpi: pinmux0:hpien, em_a[1] (ale), hhwil, hcntl0, pins:btsel[1:0] = 10 em_a[2] (cle), hcs em_cs2, em_cs3 emifa, hpi, ata emifa: ata (cf): hpi: pinmux0:ataen pinmux0:hpien, (cf) em_d[0:15], dd[0:15], da0 hd[0:15], hint pins:btsel[1:0] = 10 em_ba[0] emifa (nand), emifa (nand): ata (cf): hpi: pinmux0:ataen pinmux0:hpien, hpi, ata (cf) r/ w, em_wait intrq, iordy, hr/ w, hrdy, hds1, pins:btsel[1:0] = 10 (rdy/ bsy), dior(iord) , hds2 em_oe ( re), diow (iowr) em_we ( we) vpbe lcd, gpio gpio:gpio[0] vpbe: lcd_oe pinmux0:loeen vpfe ccd, gpio gpio:gpio[1] vpfe: c_we pinmux0:cwe vpbe rgb888, gpio:gpio[2] vpbe: pinmux0:rgb888 gpio rgb888 g0 vpbe gpio:gpio[3] vpbe: vpbe: pinmux0:rgb888 pinmux0:lflden lcd/rgb888, gpio rgb888 b0 lcd_field vpfe ccd, vpbe gpio:gpio[4] vpbe: vpfe: pinmux0:rgb888 pinmux0:cflden rgb888, gpio rgb888 r0 ccd_field vpbe rgb888, gpio: vpbe: pinmux0:rgb888 gpio gpio[5:6, 38] rgb888 g1, b1, r1 emifa, vlynq, gpio:gpio[8] emifa: vlynq: pinmux0:aecs5 pinmux0:vlynqen gpio em_cs5 vlynq_clock emifa, vlynq, gpio:gpio[9] emifa: vlynq: pinmux0:aecs4 pinmux0:vlscren gpio em_cs4 vlynq_scrun emifa, vlynq, gpio: emifa: vlynq: pinmux0:aeaw, pinmux0:vlynqen, gpio gpio[10:17] em_a[21:14] vlynq_txd[0:3], pins:daeaw[4:0] pinmux0:vlynqwd[1:0] vlynq_rxd[0:3] emifa, gpio gpio: emifa: pinmux0:aeaw, gpio[18:28] em_a[13:3] pins:daeaw[4:0] (1) when the secondary function is enabled, to avoid potential contention, ensure that the primary (if not gpio) and tertiary functions are disabled. (2) when the tertiary function is enabled, to avoid potential contention, ensure that the primary (if not gpio), secondary, and other tertiary functions are disabled. (3) pin states are sampled at power on reset and written into the register fields. device configurations 72 submit documentation feedback
3.5.3 peripheral selection after device reset tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-13. dm6446 multiplexed peripheral pins and multiplexing controls (continued) primary secondary tertiary multiplexed secondary (1) tertiary (2) (default) register/pin (3) register/pin (3) peripherals function function function control control asp, gpio gpio: asp: pinmux1:asp gpio[29:34] (all pins) (4) uart0, gpio gpio: uart0: pinmux1:uart0 gpio[35:36] rxd, txd spi, gpio gpio: spi: pinmux1:spi gpio[37, 39:41] spi_en0, spi_clk, spi_di, spi_do spi, ata, gpio gpio:gpio[42] spi: spi_en1 ata: hddir pinmux1:spi pinmux0:hdiren i2c, gpio gpio: i2c: scl, sda pinmux1:i2c gpio[43:44] pwm0, gpio gpio:gpio[45] pwm0 pinmux1:pwm0 pwm1, vpbe gpio:gpio[46] vpbe: pwm1: pinmux0:rgb666/ pinmux1:pwm1 (rgb666/rgb888), rgb666/rgb888 pwm1 pinmux0:rgb888 gpio r2 pwm2, vpbe gpio:gpio[47] vpbe: pwm2: pinmux0:rgb666/ pinmux1:pwm2 (rgb666/rgb888), rgb666/rgb888 pwm2 pinmux0:rgb888 gpio b2 clockout0, gpio gpio:gpio[48] clk_out0 pinmux1:clk0 clockout1, timer0, gpio:gpio[49] clk_out1 timer0: pinmux1:clk1 pinmux1:tim_in gpio tim_in ata, gpio gpio: ata: pinmux0:ataen gpio[50:51] ata_cs0, ata_cs1 emifa, gpio, ata gpio:gpio[52] emifa: ata (cf): pinmux0:aeaw[4:0], pinmux0:ataen (cf) em_ba[1] da1 pins:daeaw[4:0] emifa, hpi, ata gpio:gpio[53] emifa: ata (cf): da2/ pinmux0:aeaw[4:0], pinmux0:ataen, (cf), gpio em_a[0] hpi: hcntl1 pins:daeaw[4:0] pinmux0:hpien, pins:btsel[1:0] = 10 emac, gpio3v gpio: emac: pinmux0:emacen gpio3v[0:13] (all pins, except crs) (4) emac, mdio, gpio: emac: pinmux0:emacen gpio3v gpio3v[14:16] crs, mdio: mdio, mdclk uart1, ata (cf) n/a ata (cf): uart1: txd, rxd pinmux0:ataen pinmux1:uart1 dmack, dmarq uart2, vpfe vpfe: uart2: pinmux1:uart2 ci[7:6]/ uart_rxd2, ccd_data[15:14] uart_txd2 uart2, vpfe vpfe: uart2: pinmux1:uart2, ci[5:4]/ uart_cts2, pinmux1:u2flo ccd_data[13:12] uart_rts2 (4) see the terminal functions section for pin details. after device reset, the pinmux0 and pinmux1 registers are software programmable to allow multiplexing of shared device pins between peripherals, as given in the terminal functions section. section 3.5.4 , section 3.5.5 , and section 3.5.6 identify the register settings necessary to configure specific multiplexed functions and show the primary (default) function after reset. submit documentation feedback device configurations 73
3.5.4 pinmux0 register description 3.5.5 pinmux1 register description tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the pinmux0 pin multiplexing register controls which peripheral is given ownership over shared pins among emac, ccd, lcd, rgb888, rgb666, ata, vlynq, emifa, hpi, and gpio peripherals. the register format is shown in figure 3-7 and bit field descriptions are given in table 3-14 . more details on the pinmux0 pin muxing fields are given in section 3.5.6 . a value of '1' enables the secondary or tertiary pin function. figure 3-7. pinmux0 register (1) 31 30 29 28 27 26 25 24 23 22 21 18 17 16 emacen rsvd hpien rsvd cflden cwe lflden loeen rgb888 rgb666 reserved ataen hdiren r/w-0 r/w-0 r/w-d r-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r-0000 r/w-0 r/w-0 15 14 13 12 11 10 9 5 4 0 vlynqen vlscren vlynqwd aecs5 aecs4 reserved aeaw r/w-0 r/w-0 r/w-00 r/w-0 r/w-0 r-00000 r/w-llll legend: r = read; w = write; l = pin state latched at reset rising edge; d = derived from pin states; - n = value after reset (1) for proper dm6446 device operation, always write a value of '0' to rsv bits 30 and 29 table 3-14. pinmux0 register description name description emacen enable emac and mdio function on default gpio3v[0:16] pins. hpien enable hpi module pins. default value is derived from btsel[1:0] configuration inputs. hpien is 1 when the btsel[1:0] = 10 for non-secure devices only. hpien default state is always 0 for secure divices. cflden enable ccd c_field function on default gpio[4] pin cwe enable ccd c_we function on default gpio[1] pin lflden enable lcd_field function on default gpio[3] pin loeen enable lcd_oe function on default gpio[0] pin rgb888 enable vpbe rgb888 function on default gpio[2:6, 46:47] pins rgb666 enable vpbe rgb666 function on default gpio[46:47] pins ataen enable ata function on default emifa and gpio[52:53] pins and shared uart1 pins hdiren enable hddir function on default gpio[42] pin vlynqen enable vlynq function on default gpio[9,10:17] pins vlscren enable vlynq scrun function on default gpio[9] pin vlynqwd vlynq data width selection. this expands the vlynq txd[0:3] and rxd[0:3] functions on default gpio[10:17] pins. aecs5 enable emifa em_cs5 function on gpio[8] aecs4 enable emifa em_cs4 function on gpio[9] aeaw emifa address width selection. default value is latched at reset from aeaw[4:0] configuration input pins. this enables emif address function on default gpio[10:28] pins. the pinmux1 pin multiplexing register controls which peripheral is given ownership over shared pins among timer, pll, asp, spi, i2c, pwm, and uart peripherals. the register format is shown in figure 3-8 and bit field descriptions are given in table 3-15 . more details on the pinmux1 pin muxing fields are given in section 3.5.6 . a value of "1" enables the secondary or tertiary pin function. device configurations 74 submit documentation feedback
3.5.6 pin multiplexing register field details 3.5.6.1 emac and gpio3v pin multiplexing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 3-8. pinmux1 register (1) 31 19 18 17 16 reserved timin clk1 clk0 r-0000 0000 0000 0 r/w-0 r/w-0 r/w-0 15 11 10 9 8 7 6 5 4 3 2 1 0 reserved asp rsvd spi i2c pwm2 pwm1 pwm0 u2flo uart2 uart1 uart0 r-0000 0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 legend: r/w = read/write; r = read only; - n = value after reset (1) for proper dm6446 device operation, always write a value of '0' to rsv bit 9. table 3-15. pinmux1 register description name description timin enable tim_in function on default gpio[49] pin clk1 enable clk_out1 function on default gpio[49] pin clk0 enable clk_out0 function on default gpio[48] pin asp enable asp function on default gpio[29:34] pins spi enable spi function on default gpio[37,39:42] pins i2c enable i2c function on default gpio[43:44] pins pwm2 enable pwm2 function on default gpio[47] pin pwm1 enable pwm1 function on default gpio[46] pin pwm0 enable pwm0 function on default gpio[45] pin u2flo enable uart2 flow control function on default vpfe ci[5:4]/ccd_data[13:12] pins uart2 enable uart2 function on default vpfe ci[7:6]/ccd_data[15:14] pins uart1 enable uart1 function on shared ata (cf) dmack, dmarq pins uart0 enable uart0 function on default gpio[35:36] pins the bit fields for various pin multiplexing options within the pinmux0 and pinmux1 registers are described in the following sections. the emac pin functions are selected as shown in table 3-16 . the functionality for each of the individual pins affected by the pinmux0 field settings is given in table 3-17 . table 3-16. emac and gpio3v pin multiplexing control emacen pin functionality selected 0 gpio3v 1 emac table 3-17. emac and gpio3v multiplexed pins gpio emac gpio3v[0] txen gpio3v[1] txclk gpio3v[2] col gpio3v[3] txd[0] gpio3v[4] txd[1] submit documentation feedback device configurations 75
3.5.6.2 vpfe (ccd), vpbe (lcd), and gpio pin multiplexing 3.5.6.3 vpbe (rgb666 and rgb888) and gpio pin multiplexing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com gpio3v[5] txd[2] gpio3v[6] txd[3] gpio3v[7] rxd[0] gpio3v[8] rxd[1] gpio3v[9] rxd[2] gpio3v[10] rxd[3] gpio3v[11] rxclk gpio3v[12] rxdv gpio3v[13] rxer gpio3v[14] crs gpio3v[15] mdio gpio3v[16] mdclk the ccd and lcd controllers in the vpss require multiplex control bit settings for certain modes of operation. bits within the pinmux0 register, which select between the ccd or lcd control signal function and gpio, are summarized in table 3-18 . table 3-18. vpfe (ccd), vpbe (lcd), and gpio pin multiplexing pinmux0 register fields multiplexed pins c_field/ lcd_field/ c_we/ lcd_oe/ cflden lflden cwe loeen r0/ b0/ gpio[1] gpio[0] gpio[4] gpio[3] - - - 0 - - - gpio[0] - - - 1 - - - lcd_oe - - 0 - - - gpio[1] - - - 1 - - - c_we - - 0 - - - b0/gpio[3] (1) - - - 1 - - - lcd_field - - 0 - - - r0/gpio[4] (1) - - - 1 - - - c_field - - - (1) depends on rgb888 bit setting, see table 3-19 use of the rgb666 and rgb888 modes of the vpbe requires enabling rgb pins as shown in table 3-19 and table 3-20 . enabling pwm2, pwm1, ccd, and lcd functionality overrides the rgb modes. rgb666 interface pin functionality requires setting the rgb666 pinmux0 register bit field to ?1? and pinmux1 register bit fields pwm2 and pwm1 to ?0?. proper rgb888 interface operation requires setting pinmux0 register bit field rgb888 to ?1? and bit fields pwm2, pwm1, cflden, and lflden must be set to ?0?. table 3-19. vpbe (rgb666, rgb888, and lcd), vpfe (ccd), and gpio pin multiplexing pinmux0 and pinmux1 register bit fields multiplexed pins pwm2/ pwm1/ c_field/ lcd_field/ rgb888 rgb666 pwm2 pwm1 cflden lflden b2/ r2/ r0/ b0/ gpio[47] gpio[46] gpio[4] gpio[3] 0 0 0 0 0 0 gpio[47] gpio[46] gpio[4] gpio[3] - - - - - 1 - - - lcd_field - - - - 1 - - - c_field - - - - 1 - - - pwm1 - - - - 1 - - - pwm2 - - - 0 1 0 0 0 0 b2 r2 gpio[4] gpio[3] 1 - 0 0 0 0 b2 r2 r0 b0 device configurations 76 submit documentation feedback
3.5.6.4 ata, emifa, uart1, spi, and gpio pin multiplexing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-20. vpbe (rgb666, rgb888, and lcd) and gpio pin multiplexing pinmux0 and pinmux1 register bit fields multiplexed pins r1/ b1/ g1/ g0/ rgb888 pwm2 pwm1 cflden lflden gpio[38] gpio[6] gpio[5] gpio[2] 0 0 0 0 0 gpio[38] gpio[6] gpio[5] gpio[2] 1 0 0 0 0 r1 b1 g1 g0 the ata peripheral shares pins with the emifa and uart1 as seen in table 3-21 . if ata pin functionality is enabled by setting the ataen bit field, the ata module will drive the emifa data and control pins. enabling uart1 disables the use of the ata dmarq and dmack signals and thus only allows the ata module to use pio mode. the ata hddir buffer direction control bit field works in conjunction with the hdiren enable bit field to allow the ata pins to still be used as a gpio or spi_en1 if the buffer is not being used (i.e. for compact flash). this multiplexing is shown in table 3-22 . when ataen=0 and hdiren=1 it indicates that the ata interface has been disabled so that the emifa can be used, but the ata buffers are still present. hddir is driven low in this situation to ensure that the ata buffers drive away from dm644x and don?t cause bus contention with the emifa. note that switching between emifa and ata (clearing or setting ataen) must be carefully performed to prevent bus contention. since the ata device can be a bus master, software must ensure that all outstanding dma requests have completed before clearing the ataen bit. table 3-21. ata, emifa, and gpio pin multiplexing control (1) pinmux0 register multiplexed pins bit field em_ba[1]/ em_a[0]/ em_d[15:0]/ gpio[50]/ gpio[51]/ em_r/ w em_ba[0]/ em_wait/ dior/ diow/ ataen gpio[52]/ gpio[53]/ dd[15:0] ata_cs0 ata_cs1 intrq ata0 iordy em_oe em_we ata1 ata2 gpio[50] gpio[51] em_r/ w em_ba[0] em_wait em_oe em_we em_ba[1]/ em_a[0]/ em_d[15:0] 0 gpio[52] (2) gpio[53] (2) 1 ata_cs0 ata_cs1 intrq ata0 iordy dior diow ata1 ata2 dd[15:0] (1) this table assumes that the hpien bit in the pinmux0 register is "0". (2) this pin shares gpio functionality set by aeaw[4:0] as shown in table 3-9 . submit documentation feedback device configurations 77
3.5.6.5 vlynq, emifa, and gpio pin multiplexing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 3-22. ata, emifa, uart1, spi, and gpio pin multiplexing pinmux0 and pinmux1 register bit fields multiplexed pins spi_en1/ uart_txd1/ uart_rxd1/ ataen uart1 hdiren spi hddir/ dmack dmarq gpio[42] 0 0 0 0 dmack dmarq gpio[42] 0 0 0 1 dmack dmarq spi_en1 0 0 1 - dmack dmarq driven low 0 1 0 0 uart_txd1 uart_rxd1 gpio[42] 0 1 0 1 uart_txd1 uart_rxd1 spi_en1 0 1 1 - uart_txd1 uart_rxd1 driven low 1 0 0 0 dmack dmarq gpio[42]x 1 0 0 1 dmack dmarq spi_en1x 1 0 1 - dmack dmarq hddir 1 1 0 0 uart_txd1 uart_rxd1 gpio[42]x 1 1 0 1 uart_txd1 uart_rxd1 spi_en1x 1 1 1 - uart_txd1 uart_rxd1 hddir table 3-23 and table 3-24 show the vlynq pin control and multiplexing. if vlynq is disabled (vlynqen=0), the aecs5 and aecs4 bits select between the gpio[8] / emifa em_cs5 and gpio[9] / emifa em_cs4 functions, and the aeaw field determines the partitioning between gpio and the upper emifa address pins. if vlynq is enabled (vlynqen=1), vlynq_clock, vlynq_txd0, and vlynq_rxd0 are always selected. the vlynq_scrun function is only enabled if vlynqen=1 and vlscren=1 (vlscren overrides aecs4). the remaining vlynq tx/rx pins are selected based on the vlynqwd value. unselected vlynq tx/rx pins will function as either gpio or emifa address based on the aeaw value. device configurations 78 submit documentation feedback
3.5.6.6 timer0 input, clk_out1, and gpio pin multiplexing 3.5.6.7 asp, spi, i2c, ata, and gpio pin multiplexing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-23. vlynq control, emifa, and gpio pin multiplexing pinmux0 register bit fields multiplexed pins em_cs5/ em_cs4/ vlynqen vlscren aecs5 aecs4 gpio[8]/ gpio[9]/ vlynq_clock vlynq_scrun 0 - 0 0 gpio[8] gpio[9] 0 - 0 1 gpio[8] em_cs4 0 - 1 0 em_cs5 gpio[9] 0 - 1 1 em_cs5 em_cs4 1 0 - 0 vlynq_clock gpio[9] 1 0 - 1 vlynq_clock em_cs4 1 1 - - vlynq_clock vlynq_scrun table 3-24. vlynq data, emifa, and gpio pin multiplexing pinmux0 register multiplexed pins bit fields em_a[21]/ em_a[20]/ em_a[19]/ em_a[18]/ em_a[17]/ em_a[16]/ em_a[15]/ em_a[14]/ vlynqen vlynqwd gpio[10]/ gpio[11]/ gpio[12]/ gpio[13]/ gpio[14]/ gpio[15]/ gpio[16]/ gpio[17]/ vl_txd0 vl_rxd0 vl_txd1 vl_rxd1 vl_txd2 vl_rxd2 vl_txd3 vl_rxd3 em_a[21]/ em_a[20]/ em_a[19]/ em_a[18]/ em_a[17]/ em_a[16]/ em_a[15]/ em_a[14]/ 0 - gpio[10] (1) gpio[11] (1) gpio[12] (1) gpio[13] (1) gpio[14] (1) gpio[15] (1) gpio[16] (1) gpio[17] (1) vl_txd0 vlrxd0 em_a[19]/ em_a[18]/ em_a[17]/ em_a[16]/ em_a[15]/ em_a[14]/ 1 00 gpio[12] (1) gpio[13] (1) gpio[14] (1) gpio[15] (1) gpio[16] (1) gpio[17] (1) vl_txd0 vlrxd0 vl_txd1 vlrxd1 em_a[17]/ em_a[16]/ em_a[15]/ em_a[14]/ 1 01 gpio[14] (1) gpio[15] (1) gpio[16] (1) gpio[17] (1) vl_txd0 vlrxd0 vl_txd1 vlrxd1 vl_txd2 vlrxd2 em_a[15]/ em_a[14]/ 1 10 gpio[16] (1) gpio[17] (1) 1 11 vl_txd0 vlrxd0 vl_txd1 vlrxd1 vl_txd2 vlrxd2 vl_txd3 vlrxd3 (1) this pin shares gpio functionality set by aeaw[4:0] as shown in table 3-9 . the multiplexing of the clk_out1 and timer0 input (timer 0 only) functions is shown in table 3-25 . table 3-25. timer0 input, clk_out1, and gpio pin multiplexing pinmux1 register bit fields multiplexed pins clk_out1/ timin clk1 tim_in/ gpio[49] 0 0 gpio[49] 0 1 clk_out1 1 - tim_in when the asp, spi, or i2c serial port functions are not selected, their pins may be used as gpios as seen in table 3-26 , table 3-27 , and table 3-28 . the spi_en1 pin can also function as the hddir buffer control when ataen is selected and the hdiren bit is set. table 3-26. asp and gpio pin multiplexing pinmux1 register bit field multiplexed pins clkx/ clkr/ fsx/ fsr/ dx/ dr/ asp gpio[29] gpio[30] gpio[31] gpio[32] gpio[33] gpio[34] 0 gpio[29] gpio[30] gpio[31] gpio[32] gpio[33] gpio[34] 1 clkx clkr fsx fsr dx dr submit documentation feedback device configurations 79
3.5.6.8 pwm, rgb888, and gpio pin multiplexing 3.5.6.9 uart, vpfe, ata, and gpio pin multiplexing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 3-27. spi and gpio pin multiplexing pinmux0 and pinmux1 register bit fields multiplexed pins sp_en1/ spi_do/ spi_di/ spi_clk/ spi_en0/ spi ataen hdiren hddir/ gpio[41] gpio[40] gpio[39] gpio[37] gpio[42] 0 0 0 gpio[42] gpio[41] gpio[40] gpio[39] gpio[37] 0 0 1 driven low gpio[41] gpio[40] gpio[39] gpio[37] 0 1 0 gpio[42] gpio[41] gpio[40] gpio[39] gpio[37] 0 1 1 hddir gpio[41] gpio[40] gpio[39] gpio[37] 1 0 0 sp_en1 spi_do spi_di spi_clk spi_en0 1 0 1 driven low spi_do spi_di spi_clk spi_en0 1 1 0 sp_en1 spi_do spi_di spi_clk spi_en0 1 1 1 hddir spi_do spi_di spi_clk spi_en0 table 3-28. i2c and gpio pin multiplexing pinmux1 register multiplexed pins bit field i2c_clk/ i2c_data/ i2c gpio[43] gpio[44] 0 gpio[43] gpio[44] 1 i2c_clk i2c_data table 3-29 shows the pwm0/1/2 pin multiplexing. each pwm output is independently controlled by its own enable bit. the pwm function has priority over rgb888 muxing (see section 3.5.6.3 ). table 3-29. pwm0/1/2, rgb888, and gpio pin multiplexing pinmux1 register bit fields multiplexed pins pwm2/ pwm1/ pwm0/ pwm2 pwm1 pwm0 rgb888 b2/ r2/ gpio[45] gpio[47] gpio[46] 0 0 0 0 gpio[47] gpio[46] gpio[45] 0 0 0 1 b2 r2 gpio[45] - - 1 - - - pwm0 - 1 - - - pwm1 - 1 - - - pwm2 - - each uart has independent pin multiplexing control bits in the pinmux1 register. the uart2 peripheral may be used with or without the flow control signals. table 3-30 shows how uart2 selection reduces the width of the vpfe interface. setting the uart1 bit enables uart1 transmit and receive pin functionality. since these are shared with the ata dma handshake signals, enabling uart1 effectively disables the ata dma mode. however, ata pio mode is still supported with uart1 enabled. this is shown in table 3-31 . if the ata module is not enabled, the pins are always configured for use by uart1. 80 device configurations submit documentation feedback
3.5.6.10 hpi and emifa/ata pin multiplexing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-30. uart2, vpfe, and gpio pin multiplexing pinmux1 register multiplexed pins bit fields ccd[15]/ ccd[14]/ ccd[13]/ ccd[12]/ uart2 u2flo ci[7]/ ci[6]/ ci[5]/ ci[4]/ uart_rxd2 uart_txd2 uart_cts2 uart_rts2 ccd[15]/ ccd[14]/ ccd[13]/ ccd[12]/ 0 - ci[7] (1) ci[6] (1) ci[5] (1) ci[4] (1) uart_rxd2 uart_txd2 ccd[13]/ ccd[12]/ 1 0 ci[5] (1) ci[4] (1) 1 1 uart_rxd2 uart_txd2 uart_cts2 uart_rts2 (1) functionality set by vpfe operating mode. table 3-31. uart1 and ata pin multiplexing pinmux0 and pinmux1 register multiplexed pins bit fields uart_txd1/ uart_rxd1/ ataen uart1 dmack dmarq 0 - uart_txd1 uart_rxd1 1 0 dmack dmarq 1 1 uart_txd1 uart_rxd1 as table 3-32 shows, the uart0 pins are configurable for either uart0 transmit and receive data functions or for gpio. table 3-32. uart0 and gpio pin multiplexing pinmux1 register bit multiplexed pins field uart_txd0/ uart_rxd0/ uart0 gpio[36] gpio[35] 0 gpio[36] gpio[35] 1 uart_txd0 uart_rxd0 when the hpien bit is set, the hpi module is given control of most of the emifa/ata control pins as well as the emifa/ata data bus. table 3-33 shows which pins the hpi controls. hpien is set to 1 when the state of the btsel[1:0] pins = 10 is latched at the rising edge of reset. also, this bit can be manipulated after reset by software. when the ataen bit is set and hpien is 0, the ata mode of operation for pins shared with the hpi is available. emifa mode functionality for the shared hpi pins is set when both hpien and ataen are '0'. table 3-33. hpi and emifa/ata pin multiplexing pinmux0 register multiplexed pins bit fields hr/ w/ hrdy/ hds1/ hds2/ hcntlb/ hint/ hd[15:0]/ hpi ata hcs/ hhwil/ hcntla/ intrq/ em_wait/ dior/ diow/ ata2/ ata0/ dd[15:0]/ en en em_cs2 em_a[1] em_a[2] em_r/ w iordy em_oe em_we em_a[0] em_ba[0] em_d[15:0] 0 0 em_cs2 em_a[1] (1) em_r/ w em_wait em_oe em_we em_a[2] (1) em_a[0] (1) em_ba[0] em_d[15:0] 0 1 em_cs2 em_a[1] (1) intrq iordy dior diow em_a[2] (1) em_a[0] (1) ata0 dd[15:0] 1 - hcs hhwil hr/ w hrdy hds1 hds2 hcntla hcntlb hint hd[15:0] (1) this pin shares gpio functionality and is set by aeaw[4:0] as shown in table 3-12, table 3-13, and table 3-14. submit documentation feedback device configurations 81
3.6 emulation control tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the flexibility of the dm644x architecture allows either the arm or dsp to control the various peripherals (setup registers, service interrupts, etc.). while this assignment is purely a matter of software convention, during an emulation halt it is necessary for the device to know which peripherals are associated with the halting processor so that only those modules receive the suspend signal. this allows peripherals associated with the other (unhalted) processor to continue normal operation. the suspsrc register indicates the emulation suspend source for those peripherals which support emulation suspend. the suspsrc register format is shown in figure 3-9 . brief details on the peripherals which correspond to the register bits is given in table 3-34 . when the associated suspsrc bit is ?0?, the peripheral?s emulation suspend signal is controlled by the arm emulator and when set to ?1? it is controlled by the dsp emulator. figure 3-9. emulation suspend source register (suspsrc) 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 vicp vicp timr2 timr1 timr0 gpio pwm2 pwm1 pwm0 spi uart2 uart1 uart0 i2c asp rsvd src en src src src src src src src src src src src src src r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r/w-0 r-0 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 hpi usb emac rsvd rsvd rsvd reserved src src src r-000 r/w-0 r-00 r/w-0 r-000 r/w-0 r-0 0000 legend: r = read, w = write, n = value at reset table 3-34. suspsrc register description name description vicpsrc video imaging coprocessor emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend vicpen video imaging coprocessor emulation suspend enable 0 = emulation suspend ignored by vicp 1 = vicp emulation suspend enabled timr2src timer2 (wd timer) emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend timr1src timer1 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend timr0src timer0 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend gpiosrc gpio emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend pwm2src pwm2 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend pwm1src pwm1 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend pwm0 src pwm0 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend spisrc spi emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend device configurations 82 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 3-34. suspsrc register description (continued) name description uart2src uart2 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend uart1src uart1 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend uart0src uart0 emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend i2csrc i2c emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend aspsrc asp emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend hpisrc hpi emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend usbsrc usb emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend emacsrc ethernet mac emulation suspend source 0 = arm emulation suspend 1 = dsp emulation suspend submit documentation feedback device configurations 83
4 system interconnect tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com on the dm6446 device, the c64x+ megamodule, the arm subsystem, the edma3 transfer controllers, and the system peripherals are interconnected through a switch fabric architecture (shown in figure 4-1 ). the switch fabric is composed of multiple switched central resources (scrs) and multiple bridges. the scrs establish low-latency connectivity between master peripherals and slave peripherals. additionally, the scrs provide priority-based arbitration and facilitate concurrent data movement between master and slave peripherals. through scr, the arm subsystem can send data to the ddr2 memory controller without affecting a data transfer between the emac and l2 memory. bridges are mainly used to perform bus-width conversion as well as bus operating frequency conversion. for example, in figure 4-1 , bridge 8 performs a frequency conversion between a bus operating at dsp/6 clock rate and a bus operating at dsp/3 clock rate. furthermore, bridge 3 performs a bus-width conversion between a 64-bit bus and a 32-bit bus. the c64x+ megamodule, the arm subsystem, the edma3 transfer controllers, and the various system peripherals can be classified into two categories: master peripherals and slave peripherals. master peripherals are typically capable of initiating read and write transfers in the system and do not rely on the edma3 or on a cpu to perform transfers to and from them. the system master peripherals include the c64x+ megamodule, the arm subsystem, the edma3 transfer controllers, cf/ata, vlynq, emac, usb, and vpss. not all master peripherals may connect to all slave peripherals. the supported connections are designated by an x in table 4-1 . table 4-1. system connection matrix slave master c64x+ arm ddr2 memory controller scr3 (1) c64x+ x x x arm x x x vpss x cf/ata x x x x vlynq x x x x emac x x x x usb x x x x edma3tc0 x x x x edma3tc1 x x x x hpi x x x (2) (1) the c64x+ megamodule has access to only the following peripherals connected to scr3: edma3, asp, and timers. all other peripherals/modules that support a connection to scr3 have access to all peripherals/modules connected to scr3. (2) hpi's access to scr3 is limited to the power and sleep controller registers, pll1 and pll2 registers, and hpi configuration registers. system interconnect 84 submit documentation feedback
4.1 system interconnect block diagram tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 4-1 displays the dm6446 system interconnect block diagram. the following is a list that helps interpret this diagram: the direction of the arrows indicates either bus master or bus slave. the arrow originates at a bus master and terminates at a bus slave. the direction of the arrows does not indicate the direction of data flow. data flow is typically bi-directional for each of the documented bus paths. the pattern of each arrow's line indicates the clock rate at which it is operating, either dsp/2, dsp/3, or dsp/6 clock rate. some peripherals may have multiple instances shown in the diagram. a peripheral may have multiple instances shown for a variety of reasons, some of which are described below: ? the peripheral/module has master port(s) for data transfers, as well as slave port(s) for register access, data access, and/or memory access. examples of these peripherals are c64x+ megamodule, edma3, cf/ata, usb, emac, vpss, vlynq, and hpi. ? the peripheral/module has a master port as well as slave memories. examples of these are the c64x+ megamodule and the arm subsystem. figure 4-1. system interconnect block diagram submit documentation feedback system interconnect 85 scr5 scr1 bridge2 cf/ata vlynq emac usb 2.0 scr2 bridge1 bridge7 arm c64x+ cfg mdma l2 cache vicp bridge6 bridge5 scr3 vpss edma3tc1 bridge3 arm tcm c64x+ l2/l1 sdma ddr2 ctrl (mem/reg) scr6 cf/ata reg usb reg emac reg emac ctrl mod reg emac ctrl mod ram mdio vpss reg spi 0/1 gpio aintc system reg psc pllc 0 pllc 1 bridge9 bridge8 scr7 asp vlynq mmc/sd emifa/nand scr8 uart0 uart1 uart2 i2c pwm0 pwm1 pwm2 timer 0 timer 1 timer 2 scr4 edma3cc edma3tc0 edma3tc1 32 32 32 32 64 32 32 32 32 64 64 64 64 64 read write read write 64 64 64 64 64 128 256 32 32 32 32 32 32 64 64 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 dsp/2 clock rate dsp/3 clock rate dsp/6 clock rate edma3tc0 mxi/clkin rate 32 32 hpi 32 hpi 32
5 device operating conditions 5.1 absolute maximum ratings over operating case temperature range tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com (unless otherwise noted) (1) core (cv dd , v dda1p1v , usb_v dda1p2ldo (2) , cv dddsp ) (3) -0.5 v to 1.5 v i/o, 3.3v (dv dd33 , usb_v dda3p3 ) (3) -0.5 v to 4.2 v supply voltage ranges i/o, 1.8v (dv dd18 , dv ddr2 , ddr_v dddll , pllv dd18 , v dda1p8v , -0.5 v to 2.5 v usb_v dd1p8 , mxv dd , m24v dd ) (3) v i i/o, 3.3v -0.5 v to 4.2 v input voltage ranges v i i/o, 1.8v -0.5 v to 2.5 v v o i/o, 3.3v -0.5 v to 4.2 v output voltage ranges v o i/o, 1.8v -0.5 v to 2.5 v (default) 0 c to 85 c operating case temperature ranges, t c (a version) [a-513 device] -40 c to 105 c storage temperature range, t stg (default) -55 c to 150 c (1) stresses beyond those listed under "absolute maximum ratings" may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated under "recommended operating conditions" is not implied. exposure to absolute-maximum-rated conditions for extended periods may affect device reliability. (2) this pin is an internal ldo output and connected via 1 f capacitor to usb_v ssa1p2ldo . (3) all voltage values are with respect to v ss. device operating conditions 86 submit documentation feedback
5.2 recommended operating conditions tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 min nom max unit supply voltage, core (cv dd , v dda1p1v , usb_v dda1p2ldo (1) , cv dd 1.14 1.2 1.26 v cv dddsp ) (-594 devices) (2) supply voltage, i/o, 3.3v (dv dd33 , usb_dv dda3p3 ) 3.15 3.3 3.45 v dv dd supply voltage, i/o, 1.8v (dv dd18 , dv ddr2 , ddr_v dddll , 1.71 1.8 1.89 v pllv dd18 , v dda1p8v , usb_v dd1p8 , mxv dd , m24v dd ) supply ground (v ss , v ssa1p8v , v ssa1p1v , ddr_v ssdll , v ss usb_v ssref , usb_v ss1p8 , usb_v ssa3p3 , usb_v ssa1p2ldo , 0 0 0 v mxv ss (3) , m24v ss (3) ) ddr_vref ddr2 reference voltage (4) 0.49dv ddr2 0.5dv ddr2 0.51dv ddr2 v ddr_zp ddr2 impedance control, connected via 200 w resistor to v ss v ss v ddr2 impedance control, connected via 200 w resistor to ddr_zn dv ddr2 v dv ddr2 dac_vref dac reference voltage input 0.475 0.5 0.525 v dac_rbias dac biasing, connected via 4 k w resistor to v ssa_1p8v v ssa_1p8v v usb_vbus usb external charge pump input 4.75 5 5.25 v high-level input voltage, i/o, 3.3v 2 v v ih high-level input voltage, non-ddr i/o, 1.8v 0.65dv dd v low-level input voltage, i/o, 3.3v 0.8 v v il low-level input voltage, non-ddr i/o, 1.8v 0.35dv dd v default 0 85 c t c operating case temperature a version (a-513 -40 105 c device) default 20 600 mhz f sysclk1 dsp operating frequency (sysclk1) a version (a-513 20 513 mhz device) (1) this pin is an internal ldo output and connected via 1 m f capacitor to usb_v ssa1p2ldo . (2) future variants of ti soc devices may operate at voltages ranging from 0.9 v to 1.4 v to provide a range of system power/performance options. ti highly recommends that users design-in a supply that can handle multiple voltages within this range (i.e., 1.0 v, 1.05 v, 1.1 v, 1.14 v, 1.2, 1.26 v with 3% tolerances) by implementing simple board changes such as reference resistor values or input pin configuration modifications. not incorporating a flexible supply may limit the system's ability to easily adapt to future versions of ti soc devices. (3) oscillator ground must be kept separate from other grounds and connected directly to the crystal load capacitor ground. (4) ddr_vref is expected to equal 0.5dv ddr2 of the transmitting device and to track variations in the dv ddr2 . submit documentation feedback device operating conditions 87
5.3 electrical characteristics over recommended ranges of supply voltage and operating tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com case temperature (unless otherwise noted) parameter test conditions (1) min typ max unit low/full speed: 2.8 usb_v ddap3 v usb_dn and usb_dp high speed: 360 440 mv v oh usb_dn and usb_dp high-level output voltage (3.3v i/o) dv dd33 = min, i oh = max 2.4 v high-level output voltage (1.8v i/o) dv dd18 = min, i oh = max dv dd - 0.45 v low/full speed: 0.0 0.3 v usb_dn and usb_dp high speed: -10 10 mv v ol usb_dn and usb_dp low-level output voltage (3.3v i/o) dv dd33 = min, i ol = max 0.4 v low-level output voltage (1.8v i/o) dv dd18 = min, i ol = max 0.45 v v i = v ss to dv dd without opposing 10 m a internal resistor v i = v ss to dv dd with opposing internal i i (2) input current 50 100 250 m a pullup resistor (3) v i = v ss to dv dd with opposing internal -250 -100 -50 m a pulldown resistor (3) i oh high-level output current all peripherals -4 ma i ol low-level output current all peripherals 4 ma v o = dv dd or v ss ; internal pull disabled 20 m a i oz (4) i/o off-state output current v o = dv dd or v ss ; internal pull enabled 100 m a core (cv dd , v dda1p1v , v dda1p2ldo (5) , i cdd cv dd = 1.2 v, dsp clock = 594 mhz 767 ma cv dddsp ) supply current (6) 3.3v i/o (dv dd33 , usb_v dda3p3 ) supply i ddd dv dd = 3.3 v, dsp clock = 594 mhz 6 ma current (6) 1.8v i/o (dv dd18 , dv ddr2 , ddr_v dddll , i ddd pllv dd18 , v dda1p8v , usb_v dd1p8 , mxvdd, dv dd = 1.8 v, dsp clock = 594 mhz 102 ma m24vdd) supply current (6) c i input capacitance 4 pf c o output capacitance 4 pf (1) for test conditions shown as min, max, or nom, use the appropriate value specified in the recommended operating conditions table. (2) i i applies to input-only pins and bi-directional pins. for input-only pins, i i indicates the input leakage current. for bi-directional pins, i i indicates the input leakage current and off-state (hi-z) output leakage current. (3) applies only to pins with an internal pullup (ipu) or pulldown (ipd) resistor. (4) i oz applies to output-only pins, indicating off-state (hi-z) output leakage current. (5) this pin is an internal ldo output and connected via 1 m f capacitor to usb_v ssa1p2ldo . (6) measured under the following conditions: 60% dsp cpu utilization; arm doing typical activity (peripheral configurations, other housekeeping activities); ddr2 memory controller at 50% utilization (135 mhz), 50% writes, 32 bits, 50% bit switching; 2 mhz asp at 100% utilization; timer0 at 100% utilization. at room temperature (25 c) for typical process devices. the actual current draw varies across manufacturing processes and is highly application-dependent. for more details on core and i/o activity, as well as information relevant to board power supply design, see the tms320dm644x power consumption summary application report (literature number spraad6 ). 88 device operating conditions submit documentation feedback
6 peripheral and electrical specifications 6.1 parameter information 6.1.1 parameter information device-specific information 6.1.1.1 signal transition levels tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-1. test load circuit for ac timing measurements the load capacitance value stated is only for characterization and measurement of ac timing signals. this load capacitance value does not indicate the maximum load the device is capable of driving. all input and output timing parameters are referenced to v ref for both "0" and "1" logic levels. for 3.3 v i/o, v ref = 1.5 v. for 1.8 v i/o, v ref = 0.9 v. figure 6-2. input and output voltage reference levels for ac timing measurements all rise and fall transition timing parameters are referenced to v il max and v ih min for input clocks, v ol max and v oh min for output clocks. figure 6-3. rise and fall transition time voltage reference levels submit documentation feedback peripheral and electrical specifications 89 t ransmission line 4.0 pf 1.85 pf z0 = 50 w (see note) tester pin electronics data manual t iming reference point outputunder test note: the data manual provides timing at the device pin. for output timing analysis, the tester pin electronics and its transmission line ef fects must be taken into account. a transmission line with a delay of 2 ns or longer can be used to produce the desired transmission line ef fect. the transmission line is intended as a load only . it is not necessary to add or subtract the transmission line delay (2 ns or longer) from the data manual timings. 42 w 3.5 nh device pin(see note) input requirements in this data manual are tested with an input slew rate of < 4 v olts per nanosecond (4 v/ns) at the device pin. v ref v ref = v il max (or v ol max) v ref = v ih min (or v oh min)
6.1.1.2 timing parameters and board routing analysis 6.2 recommended clock and control signal transition behavior 6.3 power supplies 6.3.1 power-supply sequencing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the timing parameter values specified in this data manual do not include delays by board routings. as a good board design practice, such delays must always be taken into account. timing values may be adjusted by increasing/decreasing such delays. ti recommends utilizing the available i/o buffer information specification (ibis) models to analyze the timing characteristics correctly. to properly use ibis models to attain accurate timing analysis for a given system, see the using ibis models for timing analysis application report (literature number spra839). if needed, external logic hardware such as buffers may be used to compensate any timing differences. for the ddr2 memory controller interface, it is not necessary to use the ibis models to analyze timing characteristics. ti provides a pcb routing rules solution that describes the routing rules to ensure the ddr2 memory controller interface timings are met. see the implementing ddr2 pcb layout on the tms320dm644x dmsoc application report (literature number spraac5 ). all clocks and control signals should transition between v ih and v il (or between v il and v ih ) in a monotonic manner. for more information regarding ti's power management products and suggested devices to power ti dsps, visit www.ti.com/dsppower . the dm6446 includes two core supplies ? cv dd and cv dddsp , as well as three i/o supplies ? dv dd18 , dv ddr2 , and dv dd33 . to ensure proper device operation, a specific power-up sequence must be followed. the core supply power-up sequence is dependent on the dsp boot mode selected at reset. if the dsp boot mode is configured as self-boot mode, then both core supplies must be powered up at the same time. if the dsp boot mode is configured as host-boot, where the arm boots the dsp, the two core supplies may be ramped simultaneously or powered up separately. when powered up separately, the cv dddsp supply must not be ramped prior to the cv dd supply. the cv dddsp supply must be powered up before the shorting switch is closed (enabled). prior to powering up the cv dddsp supply, it should be left floating and not driven to ground. table 6-1 and figure 6-4 describe the power-on sequence timing requirements for dsp host-boot mode. to minimize the voltage difference between these two core supplies, a single regulator source must be used to power the cv dd and cv dddsp supplies. for more information, see section 3.2.1 , power considerations at reset. peripheral and electrical specifications 90 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-1. core supply power-on timing requirements for dsp host-boot mode (see figure 6-4 ) a-513, -594 no. unit min max 1 t d(cvdd-cvdddsp) delay time, cv dd supply ready to cv dddsp supply ramp start 0 (1) ns (1) in host-boot mode, the cv dddsp supply must be powered up prior to closing (enabling) the shorting switch between the always on and dsp power domains. figure 6-4. dsp host-boot mode core supply timings once the cv dd supply has been powered up, the i/o supplies may be powered up. table 6-2 and figure 6-5 show the power-on sequence timing requirements for the core vs. i/o power-up. dv ddxx is used to denote all i/o supplies. note: the dv ddxx supply power-up is specified relative to the cv dd supply power-up, not the cv dddsp supply. table 6-2. i/o supply power-on timing requirements (see figure 6-5 ) a-513, -594 no. unit min max 1 t d(cvdd-dvdd) delay time, cv dd supply ready to dv ddxx supply ramp start 0 100 ms figure 6-5. i/o supply timings there is not a specific power-up sequence that must be followed with respect to the order of the power-up of the dv dd18 , dv ddr2 , and dv dd33 supplies. once the cv dd supply is powered up and the t d(cvdd-dvddxx) specification is met, the dv dd18 , dv ddr2 , and dv dd33 supplies may be powered up in any order of preference. all other supplies may also be powered up in any order of preference once the t d(cvdd-dvddxx) specification has been met. submit documentation feedback peripheral and electrical specifications 91 cv dd cv dddsp cv dd dv ddxx (a) note a: dv denotes all i/o supplies. ddxx
6.3.1.1 power-supply design considerations 6.3.1.2 power-supply decoupling 6.3.1.3 dm6446 power and clock domains tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com core and i/o supply voltage regulators should be located close to the dsp (or dsp array) to minimize inductance and resistance in the power delivery path. additionally, when designing for high-performance applications utilizing the dm6446 device, the pc board should include separate power planes for core, i/o, and ground, all bypassed with high-quality low-esl/esr capacitors. in order to properly decouple the supply planes from system noise, place as many capacitors (caps) as possible close to dm6446. assuming 0603 caps, the user should be able to fit a total of 60 caps, 30 for the core supplies and 30 for the i/o supplies. these caps need to be close to the dm6446 power pins, no more than 1.25 cm maximum distance to be effective. physically smaller caps, such as 0402, are better because of their lower parasitic inductance. proper capacitance values are also important. small bypass caps (near 560 pf) should be closest to the power pins. medium bypass caps (220 nf or as large as can be obtained in a small package) should be next closest. ti recommends no less than 8 small and 8 medium caps per supply be placed immediately next to the bga vias, using the "interior" bga space and at least the corners of the "exterior". larger caps for each supply can be placed further away for bulk decoupling. large bulk caps (on the order of 100 m f) should be furthest away, but still as close as possible. large caps for each supply should be placed outside of the bga footprint. any cap selection needs to be evaluated from a yield/manufacturing point-of-view. as with the selection of any component, verification of capacitor availability over the product?s production lifetime should be considered. dm6446 includes two separate power domains: "always on" and "dsp". the "always on" power domain is always on when the chip is on. the "always on" domain is powered by the v dd pins of the dm6446. the majority of the dm6446's modules lie within the "always on" power domain. a separate domain called the "dsp" domain houses the c64x+ and vicp. the "dsp" domain is not always on. the "dsp" power domain is powered by the cv dddsp pins of the dm6446. table 6-3 provides a listing of the dm6446 power and clock domains. two primary reference clocks are required for the dm6446 device. these can either be crystal input or driven by external oscillators. a 27-mhz crystal is recommended for the system plls, which generate the internal clocks for the arm, dsp, coprocessors, peripherals (including imaging peripherals), and edma3. the recommended 27-mhz input enables the use of the video dacs to drive ntsc/pal television signals at the proper frequencies. a 24-mhz crystal is also required if the usb peripheral is to be used. for further description of the dm6446 clock domains, see table 6-4 and figure 6-6 . peripheral and electrical specifications 92 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-3. dm6446 power and clock domains power domain clock domain peripheral/module always on clkin uart0 always on clkin uart1 always on clkin uart2 always on clkin i2c always on clkin timer0 always on clkin timer1 always on clkin timer2 always on clkin pwm0 always on clkin pwm1 always on clkin pwm2 always on clkdiv2 arm subsystem always on clkdiv3 ddr2 always on clkdiv3 vpss always on clkdiv3 edma always on clkdiv3 scr always on clkdiv6 gpsc always on clkdiv6 lpscs always on clkdiv6 ice pick always on clkdiv6 emifa always on clkdiv6 usb always on clkdiv6 hpi always on clkdiv6 vlynq always on clkdiv6 emac always on clkdiv6 ata/cf always on clkdiv6 mmc/sd/sdio always on clkdiv6 spi always on clkdiv6 asp always on clkdiv6 gpio dsp clkdiv1 c64x+ cpu table 6-4. dm6446 clock domains (1) clock modes (frequency) subsystem fixed ratio vs. pll1 pll bypass pll enabled pll1 ? 27 mhz 594 mhz dsp 1:1 27 mhz 594 mhz arm 1:2 13.5 mhz 297 mhz edma3/vpss 1:3 9 mhz 198 mhz peripherals 1:6 4.5 mhz 99 mhz (1) these table values assume a mxi/clkin of 27 mhz and a pll1 multiplier equal to 22. submit documentation feedback peripheral and electrical specifications 93
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-6. pll1 and pll2 clock domain block diagram for further detail on pll1 and pll2, see the structure block diagrams figure 6-7 and figure 6-8 , respectively. 94 peripheral and electrical specifications submit documentation feedback dsp subsystem arm subsystem vicp sysclk1sysclk2 sysclk5 scr edma vpfe vpbe dacs ddr2 phy ddr2 vtp ddr2 mem ctlr plldiv1 (/1) plldiv2 (/2) bpdiv pll controller 2 pll controller 1 plldiv3 (/3) plldiv5 (/6) plldiv2 (/2) plldiv1 (/1) sysclk3 bypass clock uart s (x3) i2c t imers (x3) pwms (x3) ata/cf emif/nand emac vlynq mmc/sd spi gpio asp hpi usb 2.0 usb phy 60 mhz 24 mhz 27 mhz pclk vpbeclk plldiv4 (/4) arm intc
6.3.1.4 power and sleep controller (psc) module tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-7. pll1 structure block diagram figure 6-8. pll2 structure block diagram the power and sleep controller (psc) controls dm6446 device power by turning off unused power domains or gating off clocks to individual peripherals/modules. the psc consists of a global psc (gpsc) and a set of local pscs (lpscs). the gpsc contains memory mapped registers, power domain control, psc interrupt control, and a state machine for each peripheral/module. an lpsc is associated with each peripheral/module and provides clock and reset control. the gpsc controls all of dm6446?s lpscs. the arm subsystem does not have an lpsc module. arm sleep mode is accomplished through the wait for interrupt instruction. the lpscs for dm6446 are shown in table 6-5 . the psc register memory map is given in table 6-6 . for more details on the psc, see the documentation support section of the tms320dm644x dmsoc arm subsystem reference guide (literature number sprue14 ). submit documentation feedback peripheral and electrical specifications 95 plldiv1 (/1) plldiv2 (/2) plldiv4 (/4) plldiv3 (/3) plldiv5 (/6) sysclk1 sysclk2 sysclk4 sysclk3 sysclk5 10 post?div pllm pll 0 1 bpdiv clkmode clkin oscin pllen auxclksysclkbp plldiv1 plldiv2 10 post?div (/1) pllm pll 0 1 bpdiv clkmode clkin oscin pllen pll2_sysclk1(vpss?vpbe) pll2_sysclk2 (ddr2 phy) pll2_sysclkbp (ddr2 vtp)
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-5. dm6446 lpsc assignments lpsc peripheral/module lpsc peripheral/module lpsc peripheral/module number number number 0 vpss dma 14 emifa 28 timer1 1 vpss mmr 15 mmc/sd/sdio 29 reserved 2 edmacc 16 reserved 30 reserved 3 edmatc0 17 asp 31 reserved 4 edmatc1 18 i2c 32 reserved 5 emac 19 uart0 33 reserved 6 emac memory controller 20 uart1 34 reserved 7 mdio 21 uart2 35 reserved 8 reserved 22 spi 36 reserved 9 usb 23 pwm0 37 reserved 10 ata/cf 24 pwm1 38 reserved 11 vlynq 25 pwm2 39 c64x+ cpu 12 hpi 26 gpio 40 vicp 13 ddr2 memory controller 27 timer0 table 6-6. psc register memory map register hex address range description acronym 0x01c4 1000 pid peripheral revision and class information register 0x01c4 1003 - 0x01c4 101f - reserved 0x01c4 1010 gblctl global control register 0x01c4 1014 - reserved 0x01c4 1018 inteval interrupt evaluation register 0x01c4 101c - 0x01c4 103f - reserved 0x01c4 1040 merrpr0 module error pending 0 (mod 0 - 31) register 0x01c4 1044 merrpr1 module error pending 1 (mod 32- 63) register 0x01c4 1048 - 0x01c4 104f - reserved 0x01c4 1050 merrcr0 module error clear 0 (mod 0 - 31) register 0x01c4 1054 merrcr1 module error clear 1 (mod 32 - 63) register 0x01c4 1058 - 0x01c4 105f - reserved 0x01c4 1060 perrpr power error pending register 0x01c4 1064 - 0x01c4 1067 - reserved 0x01c4 1068 perrcr power error clear register 0x01c4 106c - 0x01c4 106f - reserved 0x01c4 1070 epcpr external power error pending register 0x01c4 1074 - 0x01c4 1077 - reserved 0x01c4 1078 epccr external power control clear register 0x01c4 107c - 0x01c4 10ff - reserved 0x01c4 1100 railstat power rail status register 0x01c4 1104 railctl power rail control register 0x01c4 1108 railsel power rail counter select register 0x01c4 110c - 0x01c4 111f - reserved 0x01c4 1120 ptcmd power domain transition command register 0x01c4 1124 - 0x01c4 1127 - reserved 0x01c4 1128 ptstat power domain transition status register 0x01c4 112c - 0x01c4 11ff - reserved peripheral and electrical specifications 96 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-6. psc register memory map (continued) register hex address range description acronym 0x01c4 1200 pdstat0 power domain status 0 register (always on) 0x01c4 1204 pdstat1 power domain status 1 register (dsp) 0x01c4 1208 - 0x01c4 12ff - reserved 0x01c4 1300 pdctl0 power domain control 0 register (always on) 0x01c4 1304 pdctl1 power domain control 1 register (dsp) 0x01c4 1308 - 0x01c4 150f - reserved 0x01c4 1510 mckout0 module clock output status (mod 0-31) register 0x01c4 1514 mckout1 module clock output status (mod 32-63) register 0x01c4 1518 - 0x01c4 15ff - reserved 0x01c4 1600 mdcfg0 module configuration 0 register (vpss dma) 0x01c4 1604 mdcfg1 module configuration 1 register (vpss mmr) 0x01c4 1608 mdcfg2 module configuration 2 register (edmacc) 0x01c4 160c mdcfg3 module configuration 3 register (edmatc0) 0x01c4 1610 mdcfg4 module configuration 4 register (edmatc1) 0x01c4 1614 mdcfg5 module configuration 5 register (emac) 0x01c4 1618 mdcfg6 module configuration 6 register (emac memory controller) 0x01c4 161c mdcfg7 module configuration 7 register (mdio) 0x01c4 1620 reserved 0x01c4 1624 mdcfg9 module configuration 9 register (usb) 0x01c4 1628 mdcfg10 module configuration 10 register (ata/cf) 0x01c4 162c mdcfg11 module configuration 11 register (vlynq) 0x01c4 1630 mdcfg12 module configuration 12 register (hpi) 0x01c4 1634 mdcfg13 module configuration 13 register (ddr2) 0x01c4 1638 mdcfg14 module configuration 14 register (emifa) 0x01c4 163c mdcfg15 module configuration 15 register (mmc/sd/sdio) 0x01c4 1640 reserved 0x01c4 1644 mdcfg17 module configuration 17 register (asp) 0x01c4 1648 mdcfg18 module configuration 18 register (i2c) 0x01c4 164c mdcfg19 module configuration 19 register (uart0) 0x01c4 1650 mdcfg20 module configuration 20 register (uart1) 0x01c4 1654 mdcfg21 module configuration 21 register (uart2) 0x01c4 1658 mdcfg22 module configuration 22 register (spi) 0x01c4 165c mdcfg23 module configuration 23 register (pwm0) 0x01c4 1660 mdcfg24 module configuration 24 register (pwm1) 0x01c4 1664 mdcfg25 module configuration 25 register (pwm2) 0x01c4 1668 mdcfg26 module configuration 26 register (gpio) 0x01c4 166c mdcfg27 module configuration 27 register (timer0) 0x01c4 1670 mdcfg28 module configuration 28 register (timer1) 0x01c4 1674 - 0x01c4 169b - reserved 0x01c4 169c mdcfg39 module configuration 39 register (c64x+ cpu) 0x01c4 16a0 mdcfg40 module configuration 40 register (vicp) 0x01c4 16a4 - 0x01c4 17ff - reserved 0x01c4 1800 mdstat0 module status 0 register (vpss dma) 0x01c4 1804 mdstat1 module status 1 register (vpss mmr) 0x01c4 1808 mdstat2 module status 2 register (edmacc) 0x01c4 180c mdstat3 module status 3 register (edmatc0) submit documentation feedback peripheral and electrical specifications 97
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-6. psc register memory map (continued) register hex address range description acronym 0x01c4 1810 mdstat4 module status 4 register (edmatc1) 0x01c4 1814 mdstat5 module status 5 register (emac) 0x01c4 1818 mdstat6 module status 6 register (emac memory controller) 0x01c4 181c mdstat7 module status 7 register (mdio) 0x01c4 1820 reserved 0x01c4 1824 mdstat9 module status 9 register (usb) 0x01c4 1828 mdstat10 module status 10 register (ata/cf) 0x01c4 182c mdstat11 module status 11 register (vlynq) 0x01c4 1830 mdstat12 module status 12 register (hpi) 0x01c4 1834 mdstat13 module status 13 register (ddr2) 0x01c4 1838 mdstat14 module status 14 register (emifa) 0x01c4 183c mdstat15 module status 15 register (mmc/sd/sdio) 0x01c4 1840 reserved 0x01c4 1844 mdstat17 module status 17 register (asp) 0x01c4 1848 mdstat18 module status 18 register (i2c) 0x01c4 184c mdstat19 module status 19 register (uart0) 0x01c4 1850 mdstat20 module status 20 register (uart1) 0x01c4 1854 mdstat21 module status 21 register (uart2) 0x01c4 1858 mdstat22 module status 22 register (spi) 0x01c4 185c mdstat23 module status 23 register (pwm0) 0x01c4 1860 mdstat24 module status 24 register (pwm1) 0x01c4 1864 mdstat25 module status 25 register (pwm2) 0x01c4 1868 mdstat26 module status 26 register (gpio) 0x01c4 186c mdstat27 module status 27 register (timer0) 0x01c4 1870 mdstat28 module status 28 register (timer1) 0x01c4 1874 - 0x01c4 189b - reserved 0x01c4 189c mdstat39 module status 39 register (c64x+ cpu) 0x01c4 18a0 mdstat40 module status 40 register (vicp) 0x01c4 18a4 - 0x01c4 19ff - reserved 0x01c4 1a00 mdctl0 module control 0 register (vpss dma) 0x01c4 1a04 mdctl1 module control 1 register (vpss mmr) 0x01c4 1a08 mdctl2 module control 2 register (edmacc) 0x01c4 1a0c mdctl3 module control 3 register (edmatc0) 0x01c4 1a10 mdctl4 module control 4 register (edmatc1) 0x01c4 1a14 mdctl5 module control 5 register (emac) 0x01c4 1a18 mdctl6 module control 6 register (emac memory controller) 0x01c4 1a1c mdctl7 module control 7 register (mdio) 0x01c4 1a20 reserved 0x01c4 1a24 mdctl9 module control 9 register (usb) 0x01c4 1a28 mdctl10 module control 10 register (ata/cf) 0x01c4 1a2c mdctl11 module control 11 register (vlynq) 0x01c4 1a30 mdctl12 module control 12 register (hpi) 0x01c4 1a34 mdctl13 module control 13 register (ddr2) 0x01c4 1a38 mdctl14 module control 14 register (emifa) 0x01c4 1a3c mdctl15 module control 15 register (mmc/sd/sdio) 0x01c4 1a40 reserved peripheral and electrical specifications 98 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-6. psc register memory map (continued) register hex address range description acronym 0x01c4 1a44 mdctl17 module control 17 register (asp) 0x01c4 1a48 mdctl18 module control 18 register (i2c) 0x01c4 1a4c mdctl19 module control 19 register (uart0) 0x01c4 1a50 mdctl20 module control 20 register (uart1) 0x01c4 1a54 mdctl21 module control 21 register (uart2) 0x01c4 1a58 mdctl22 module control 22 register (spi) 0x01c4 1a5c mdctl23 module control 23 register (pwm0) 0x01c4 1a60 mdctl24 module control 24 register (pwm1) 0x01c4 1a64 mdctl25 module control 25 register (pwm2) 0x01c4 1a68 mdctl26 module control 26 register (gpio) 0x01c4 1a6c mdctl27 module control 27 register (timer0) 0x01c4 1a70 mdctl28 module control 28 register (timer1) 0x01c4 1a74 - 0x01c4 1a9b - reserved 0x01c4 1a9c mdctl39 module control 39 register (c64x+ cpu) 0x01c4 1aa0 mdctl40 module control 40 register (vicp) 0x01c4 1aa4 - 0x01c4 1fff - reserved 0x01c4 1000 mpfar memory protection fault address register 0x01c4 1004 mpfsr memory protection fault status register 0x01c4 1008 mpfcr memory protection fault command register 0x01c4 100c mpaa memory protection page attribute register 0x01c4 1010 - 0x01c4 1fff - reserved submit documentation feedback peripheral and electrical specifications 99
6.4 reset tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com dm6446 supports various types of resets. power-on-reset (por), warm reset, max reset, system reset, c64x+ local reset, and module reset are summarized in table 6-7 . table 6-7. dm6446 resets type initiator description power-on-reset (por) reset pin active low while trst is low. global chip reset (cold reset). activates the por signal on chip, which is used to reset test and emulation logic. warm reset reset pin active low while trst is high. resets everything except for test and emulation logic. arm emulator stays alive during warm reset, but the c64x+ emulator does not. maximum reset emulator, wd timer same as warm reset, except for initiators. c64x+ local reset software (register bit) mmr controls the c64x+ reset input. this is used for control of c64x+ reset by the arm. the c64x+ slave dma port is still alive when in local reset. power-on-reset (por) is the global chip reset and it affects test, emulation, and other circuitry. it is invoked by driving the reset pin active low while trst is held low. a por is required to place dm6446 into a known good initial state. por can be asserted prior to ramping the core and i/o voltages or after the core and i/o voltages have reached their proper operating conditions. as a best practice, reset should be asserted (held low) during power-up. prior to deasserting reset (low-to-high transition), the core and i/o voltages should be at their proper operating conditions and if an external 27 mhz oscillator is used on the mxi/clkin pin, the external clock should also be running at the correct frequency. warm reset is activated by driving the reset pin active low, while trst is inactive high. this does not reset test or arm emulation logic. an arm emulator session will stay alive during warm reset, but a c64x+ emulator session will not. maximum reset is initiated by the emulator or the watchdog timer and the reset effects are the same as a warm reset. the emulator initiates a maximum reset via the icepick module. when the watchdog timer counter reaches zero, this will initiate a maximum reset to recover from a runaway condition. both of the maximum reset initiators can be masked by the arm emulator. system reset is initiated by the emulator and is a soft reset. memory contents are maintained. test, emulation, clock, and power control logic are unaffected. the emulator initiates a system reset via the c64x+ emulation logic, or through icecrusher. both of these reset initiators are non-maskable resets. the c64x+ dsp has an internal reset input that allows a host to control it. this reset is configured through a mmr bit (mdctl[39].lrstz) in the psc module. when in c64x+ local reset, the slave dma port on c64x+ will remain active and the internal memory will be accessible, including access to the vicp memory through the l2 port (umap port). for details on reset control/status registers, see the tms320dm644x dmsoc arm subsystem reference guide (literature number sprue14 ) for information on peripheral selection at the rising edge of reset, see the device configuration section of this data manual. 100 peripheral and electrical specifications submit documentation feedback
6.4.1 reset electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-8. timing requirements for reset (see figure 6-9 ) a-513, -594 no. unit min max 1 t w(rst) width of the reset pulse 444 ns 2 t su(boot) setup time, boot configuration bits valid before reset high 444 ns 3 t h(boot) hold time, boot configuration bits valid after reset high 444 ns table 6-9. switching characteristics over recommended operating conditions during reset (1) (see figure 6-9 ) a-513, -594 no. unit min max 26 t d(pll_lock) delay time, pll1 lock time 2000p ns 4 t d(rstl-ddrzz) delay time, reset low to ddr2 z group high impedance 0 2p + 20 ns 5 t d(rstl-ddrll) delay time, reset low to ddr2 low group low 0 20 ns 6 t d(rstl-ddrhh) delay time, reset low to ddr2 high group high 0 20 ns 16 t d(rstl-ddrzhz) delay time, reset low to ddr2 z/high group high impedance 0 5p + 20 ns 17 t d(rstl-ddrlhl) delay time, reset low to ddr2 low/high group low 0 20 ns 7 t d(rstl-zz) delay time, reset low to z group high impedance 0 20 ns 8 t d(rstl-lowl) delay time, reset low to low group low 0 20 ns 9 t d(rstl-highh) delay time, reset low to high group high 0 20 ns 18 t d(rstl-highlowh) delay time, reset low to high/low group high 0 20 ns 19 t d(rstl-lowhighl) delay time, reset low to low/high group low 0 20 ns 24 t d(rstl-ziz) delay time, reset low to z/invalid group high impedance 0 20 ns 10 t d(rsth-ddrzv) delay time, reset high to ddr2 z group valid (2) ns 11 t d(rsth-ddrlv) delay time, reset high to ddr2 low group valid (2) ns 12 t d(rsth-ddrhv) delay time, reset high to ddr2 high group valid (2) ns 20 t d(rsth-ddrzhv) delay time, reset high to ddr2 z/high group valid high 4000p ns 21 t d(rsth-ddrlhv) delay time, reset high to ddr2 low/high group valid high 4000p ns 13 t d(rsth-zv) delay time, reset high to z group valid (2) ns 14 t d(rsth-lowv) delay time, reset high to low group valid (2) ns 15 t d(rsth-highv) delay time, reset high to high group valid (2) ns 22 t d(rsth-highlowv) delay time, reset high to high/low group valid low 5100p ns 23 t d(rsth-lowhighv) delay time, reset high to low/high group valid high 5100p ns 25 t d(rsth-ziiv) delay time, reset high to z/invalid group invalid 4000p ns (1) p = mxi/clkin cycle time, in ns. (2) following reset high, this signal group maintains the state the pins(s) achieved while reset was driven low until the peripheral is enabled via the psc. for example, the ddr2 z group goes high impedance following reset low and remains in the high-impedance state following reset high until the ddr2 controller is enabled via the psc. submit documentation feedback peripheral and electrical specifications 101
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-9. reset timing peripheral and electrical specifications 102 submit documentation feedback 3 4 1 reset boot configuration pins 2 10 11 5 ddr2 low group (a) ddr2 z group (a) 12 6 ddr2 high group (a) 16 20 21 17 ddr2 low/high group (a) ddr2 z/high group (a) a. ddr2 z group: ddr_dqs[3:0], ddr_d[12:0] ddr2 low group: ddr_clk0, ddr_cke, ddr_a[12:0] ddr2 high group: ddr_clk0 , ddr_cs , ddr_we , ddr_ras , ddr_cas ddr2 z/high group: ddr_dqm [3:0], ddr2 low/high group: ddr_bs[2:0] low group: dmarq/uar t_rxd1, vclk, r tck, tdo, vpbeclk, yout0/g5/aea w0, yout1/g6/aea w1, yout2/g7/aea w2, yout3/r3/aeaw3, yout4/r4/aea w4, cout3/b6/dsp_bt , cout2/b5/em_width, cout1/b4/btsel1, cout0/b3/btsel0, trst high group: dmack/uar t_txd1, em_a[2]/(cle), em_a[1]/(ale), em_cs3 , em_we /(we )(iowr )/diow z group: all other pins not listed above, with the exception of power and ground pins.  the following z group pins have an internal pullup (ipu): dmarq/uar t_rxd1, vpbeclk, hsync, vsync, yout0/g5/aea w0, yout1/g6/aeaw1, yout2/g7/aea w2, yout3/r3/aeaw3, yout4/r4/aea w4, cout3/b6/dsp_bt , cout2/b5/em_width, cout1/b4/btsel1, cout0/b3/btsel0, trst , yi/ccd[7:0], ci[3:0]/ccd[1 1:8], ci4/ccd12/uar t_r ts2, ci5/ccd13/uar t_cts2, ci6/ccd14/uar t_txd2, ci7/ccd15/uart_rxd2  the following z group pins have an internal pulldown (ipd): em_w ait/iordy , tck, tdi, tms, emu[1:0] high/low group: em_ba[0]/da0, em_cs2 , em_oe /(re )/(iord )/dior low/high group: em_r/w /intrq z/invalid group: em_d[15:0] 7 14 8 low group (a) z group (a) 15 9 high group (a) 13 22 18 high/low group (a) 23 19 low/high group (a) 24 z/invalid group (a) 25
6.5 external clock input from mxi/clkin pin 6.5.1 clock input option 1 ? crystal 6.5.1.1 27-mhz crystal for system oscillator tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the dm6446 device has two input pins for an external clock source, mxi/clkin and m24xi. the mxi/clkin pin provides the clock source for pll1 and pll2 whose optimal frequency is 27 mhz. the m24xi pin provides the clock source for the usb pll whose optimal frequency is 24 mhz. the dm6446 device includes two options to provide an external clock input: 1. use an on-chip oscillator with external crystal or ceramic resonator circuit (only supporting parallel-resonant mode; it does not provide overtone support). for more details, see section 6.5.1 . 2. use an external 1.8-v lvcmos-compatible clock input. for more details, see section 6.5.2 . in this option, a crystal is used as the external clock input to the dm6446 pll1 and pll2. the 27-mhz oscillator provides the reference clock for all dm6446 subsystems and peripherals. the on-chip oscillator requires an external 27-mhz crystal connected across the mxi and mxo pins, along with two load capacitors, as shown in figure 6-10 . the external crystal load capacitors must be connected only to the 27-mhz oscillator ground pin (mxv ss ). do not connect to board ground (v ss ). the mxv dd pin can be connected to the same 1.8 v power supply as dv dd18 . figure 6-10. 27-mhz system oscillator the rbias resistor is optional. if the rbias resistor is used, it should equal 1 m w 5%. the load capacitors, c1 and c2, should be chosen such that the equation is satisfied (typical values are c1 = c2 = 10 pf). c l in the equation is the load specified by the crystal manufacturer. all discrete components used to implement the oscillator circuit should be placed as close as possible to the associated oscillator pins (mxi and mxo) and to the mxv ss pin. table 6-10. crystal requirements for a 27-mhz system oscillator parameter min typ max unit start-up time (from power up until oscillating at stable frequency of 27 mhz) 4 ms oscillation frequency 27 mhz esr 60 w frequency stability 50 ppm submit documentation feedback peripheral and electrical specifications 103 c l  c 1 c 2 ( c 1  c 2 ) mxi/clkin mxo c1 c2 crystal mxv ss 27 mhz 1.8 v mxv dd rbias (optional)
6.5.1.2 24-mhz crystal for usb oscillator tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com in this option, a crystal is used as the external clock input to the dm6446 usb pll. the 24-mhz oscillator provides the reference clock for the dm6446 usb peripheral. the on-chip oscillator requires an external 24-mhz crystal connected across the m24xi and m24xo pins, along with two load capacitors, as shown in figure 6-11 .the external crystal load capacitors must be connected only to the 24-mhz oscillator ground pin (m24v ss ). do not connect to board ground (v ss ). figure 6-11. 24-mhz usb oscillator the rbias resistor is optional. if the rbias resistor is used, it should equal 1 m w 5%. the load capacitors, c1 and c2, should be chosen such that the equation is satisfied (typical values are c1 = c2 = 10 pf). c l in the equation is the load specified by the crystal manufacturer. all discrete components used to implement the oscillator circuit should be placed as close as possible to the associated oscillator pins (m24xi and m24xo) and to the m24xv ss pin. table 6-11. crystal requirements for a 24-mhz usb oscillator parameter min typ max unit start-up time (from power up until oscillating at stable frequency of 24 mhz) 4 ms oscillation frequency 24 mhz esr 60 w frequency stability 50 ppm peripheral and electrical specifications 104 submit documentation feedback c l  c 1 c 2 ( c 1  c 2 ) m24xi m24xo m24v ss m24v dd c1 c2 crystal 24 mhz 1.8 v rbias (optional)
6.5.2 clock input option 2 ? 1.8-v lvcmos-compatible clock input 6.6 clock plls tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 in this option, a 1.8-v lvcmos-compatible clock input is used as the external clock input to the dm6446 device. the external connections are shown in figure 6-12 . the mxi/clkin pin is connected to the 1.8-v lvcmos-compatible clock source. the mxo pin is left unconnected. the mxv ss pin is connected to board ground (v ss ). the mxv dd pin can be connected to the same 1.8-v power supply as dv dd18 . the clock source must meet the mxi/clkin timing requirements shown in table 6-16 , timing requirements for mxi/clkin. figure 6-12. 1.8-v lvcmos-compatible clock input figure 6-12 also applies to the usb external clock input. when a 1.8-v lvcmos-compatible clock input is used as the external clock input, the m24xi pin is connected to the 1.8-v lvcmos-compatible clock source. the m24xo pin is left unconnected. the m24v ss pin is connected to board ground (v ss ). the m24v dd pin can be connected to the same 1.8-v power supply as dv ddr2 . the clock source must meet the mxi/clkin timing requirements shown in table 6-17 , timing requirements for m24xi. there are two independently controlled plls on dm6446. pll1 generates the frequencies required for the dsp, arm, vicp, dma, vpfe, and other peripherals. pll2 generates the frequencies required for the ddr2 interface and the vpbe in certain modes. the recommended reference clock for both plls is the 27-mhz crystal input. the usb2.0 phy contains a third pll embedded within it and the 24-mhz oscillator is its reference clock source. this particular pll is only usable for usb operation, and is discussed further in the tms320dm644x dmsoc univeral serial bus (usb) controller user's guide (literature number sprue35 ). a summary of the pll controller registers is shown in table 6-12 . for more details, see the tms320dm644x dmsoc arm subsystem reference guide (literature number sprue14 ). table 6-12. pll and reset controller registers memory map hex address range register acronym description pll1 controller registers 0x01c4 0800 pid peripheral identification and revision information register 0x01c4 08e4 rstype reset type register 0x01c4 0900 pllc pll controller 1 operations control register 0x01c4 0910 pllm pll controller 1 multiplier control register 0x01c4 0918 plldiv1 pll controller 1 control-divider 1 register (sysclk1) 0x01c4 091c plldiv2 pll controller 1 control-divider 2 register (sysclk2) 0x01c4 0920 plldiv3 pll controller 1 control-divider 3 register (sysclk3) 0x01c4 0928 postdiv pll controller 1 post-divider control register 0x01c4 092c bpdiv pll controller 1 bypass control-divider register (sysclkbp) 0x01c4 0938 pllcmd pll controller 1 command register submit documentation feedback peripheral and electrical specifications 105 mxi/clkin mxo nc mxv ss 1.8 v mxv dd
6.6.1 pll1 and pll2 tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-12. pll and reset controller registers memory map (continued) hex address range register acronym description 0x01c4 093c pllstat pll controller 1 status register (shows pllctrl status) pll controller 1 alignment control register 0x01c4 0940 alnctl (indicates which sysclks need to be aligned for proper device operation) pll controller 1 divider change register 0x01c4 0944 dchange (indicates if sysclk divide ratio has been modified) 0x01c4 0948 cken pll controller 1 clock enable register 0x01c4 094c ckstat pll controller 1 clock status register (for all clocks except sysclkx) 0x01c4 0950 pll controller 1 system clock status 1 register (indicates sysclk on/off systat status) 0x01c4 0960 plldiv4 pll controller 1 control-divider 4 register (sysclk4) 0x01c4 0964 plldiv5 pll controller 1 control-divider 5 register (sysclk5) 0x01c4 0c00 pid peripheral identification and revision information register 0x01c4 0d00 pllc pll controller 2 operations control register 0x01c4 0d10 pllm pll controller 2 multiplier control register 0x01c4 0d18 plldiv1 pll controller 2 control-divider 1 register (sysclk1) 0x01c4 0d1c plldiv2 pll controller 2 control-divider 2 register (sysclk2) 0x01c4 0d20 - 0x01c4 0d2b postdiv pll controller 2 post-divider control register 0x01c4 0d2c bpdiv pll controller 2 bypass control-divider register (sysclkbp) 0x01c4 0d38 pllcmd pll controller 2 command register 0x01c4 0d3c pllstat pll controller 2 status register (shows pllctrl status) pll controller 2 alignment control register 0x01c4 0d40 alnctl (indicates which sysclks need to be aligned for proper device operation) pll controller 2 divider change register 0x01c4 0d44 dchange (indicates if sysclk divide ratio has been modified) 0x01c4 0d48 cken pll controller 2 clock enable register 0x01c4 0d4c ckstat pll controller 2 clock status register (for all clocks except sysclkx) 0x01c4 0d50 systat pll controller 2 system clock status 1 register (indicates sysclk on/off status) both pll1 and pll2 power is supplied externally via the 1.8 v pll power-supply pin (pllv dd18 ). it is recommended that an external emi filter circuit be added to pllv dd18 , as shown in figure 6-13 . the 1.8-v supply of the emi filter must be from the same 1.8-v power plane supplying the device?s 1.8-v i/o power-supply pins (dv dd ). ti recommends emi filter manufacturer murata, part number nfm18cc222r1c3. all pll external components (c1, c2, and the emi filter) should be placed as close to the device as possible. for the best performance, ti recommends that all the pll external components be on a single side of the board without jumpers, switches, or components other than the ones shown in figure 6-13 . for reduced pll jitter, maximize the spacing between switching signals and the pll external components (c1, c2, and the emi filter). 106 peripheral and electrical specifications submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-13. pll1 and pll2 external connection the minimum clkin rise and fall times should also be observed. for the input clock timing requirements, see section 6.6.3 , clock pll electrical data/timing (input and output clocks). there is an allowable range for pll multiplier (pllm). there is a minimum and maximum operating frequency for mxi/clkin, pllout, and the device clocks (sysclks). the pll controllers must be configured not to exceed any of these constraints documented in this section (certain combinations of external clock inputs, internal dividers, and pll multiply ratios might not be supported). submit documentation feedback peripheral and electrical specifications 107 pllv dd18 c2 c1 emi filter +1.8 v dm644x pll2 pll1 0.1 f 0.01 f
6.6.2 clock pll considerations with external clock sources tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-13. pllc1 clock frequency ranges clock signal name min max unit mxi/clkin (1) 20 30 mhz pllout at 1.2-v cv dd 400 600 mhz -594 (commercial 600 mhz temp) sysclk1 (clkdiv1 domain) a-513 (extended 513 mhz temp) (1) mxi/clkin input clock is used for both pll controllers (pllc1 and pllc2). table 6-14. pllc2 clock frequency ranges clock signal name min max unit mxi/clkin (1) 20 30 mhz pllout at 1.2-v cv dd 400 900 mhz (1) mxi/clkin input clock is used for both pll controllers (pllc1 and pllc2). both pll1 and pll2 have stabilization, lock, and reset timing requirements that must be followed. the pll stabilization time is the amount of time that must be allotted for the internal pll regulators to become stable after the pll is powered up (after pllctl.pllpwrdn bit goes through a 1-to-0 transition). the pll should not be operated until this stabilization time has expired. this stabilization step must be applied after these resets?a power-on reset, a warm reset, or a max reset, as the pllctl.pllpwrdn bit resets to a "1". for the pll stabliziation time value, see table 6-15 . the pll reset time is the amount of wait time needed for the pll to properly reset (writing pllrst = 0) before bringing the pll out of reset (writing pllrst = 1). for the pll reset time value, see table 6-15 . the pll lock time is the amount of time needed from when the pll is taken out of reset (pllrst = 1 with pllen = 0) to when to when the pll controller can be switched to pll mode (pllen = 1). for the pll lock time value, see table 6-15 . table 6-15. pll1 and pll2 stabilization, lock, and reset times pll stabilization/lock/reset time min typ max unit pll stabilization time 150 m s pll lock time 2000c (1) ns pll reset time 128c (1) ns (1) c = clkin cycle time in ns. for example, when mxi/clkin frequency is 27 mhz, use c = 37. 037 ns. for details on the pll initialization software sequence, see the tms320dm6446 dmsoc arm subsystem reference guide (literature number sprue14 ). if the internal oscillator is bypassed, to minimize the clock jitter a single clean power supply should power both the dm6446 device and the external clock oscillator circuit. the minimum clkin rise and fall times should also be observed. for the input clock timing requirements, see section 6.6.3 , clock pll electrical data/timing (input and output clocks). rise/fall times, duty cycles (high/low pulse durations), and the load capacitance of the external clock source must meet the device requirements in this data manual (see section 5.3 , electrical characteristics over recommended ranges of supply voltage and operating case temperature and section 6.6.3 , clock pll electrical data/timing (input and output clocks). peripheral and electrical specifications 108 submit documentation feedback
6.6.3 clock pll electrical data/timing (input and output clocks) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-16. timing requirements for mxi/clkin (-594) devices (1) (2) (3) (4) (see figure 6-14 ) a-513, -594 no. unit min max 1 t c(mxi) cycle time, mxi/clkin 33. 3 50 ns 2 t w(mxih) pulse duration, mxi/clkin high 0.45c 0.55c ns 3 t w(mxil) pulse duration, mxi/clkin low 0.45c 0.55c ns 4 t t(mxi) transition time, mxi/clkin 0.05c ns 5 t j(mxi) period jitter, mxi/clkin 0.02c ns (1) the mxi/clkin frequency and pll multiply factor should be chosen such that the resulting clock frequency is within the specific range for cpu operating frequency. for example, for a -594 speed device with a 27 mhz clkin frequency, the pll multiply factor should be 22. (2) the reference points for the rise and fall transitions are measured at v il max and v ih min. (3) for more details on the pll multiplier factors, see the documentation support section for arm subsystem user's guide. (4) c = clkin cycle time in ns. for example, when mxi/clkin frequency is 27 mhz, use c = 37. 037 ns. figure 6-14. mxi/clkin timing submit documentation feedback peripheral and electrical specifications 109 mxi/clkin 2 3 4 4 5 1
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-17. timing requirements for m24xi (-594) devices (1) (2) (3) (see figure 6-15 ) a-513, no. unit -594 min typ max 1 t c(m24xi) cycle time, m24xi 41. 6 ns 2 t w(m24xih) pulse duration, m24xi high 0.45c 0.55c ns 3 t w(m24xil) pulse duration, m24xi low 0.45c 0.55c ns 4 t t(m24xi) transition time, m24xi 0.05c ns 5 t j(m24xi) period jitter, m24xi 0.02c ns (1) the reference points for the rise and fall transitions are measured at v il max and v ih min. (2) for more details on the pll, see the documentation support section for usb peripheral reference guide. (3) c = m24xi cycle time in ns. for example, when m24xi frequency is 24 mhz, use c = 41. 6 ns. figure 6-15. m24xi timing 110 peripheral and electrical specifications submit documentation feedback mxi/clkin 2 3 4 4 5 1
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-18. switching characteristics over recommended operating conditions for clk_out0 (1) (2) (see figure 6-16 ) a-513, -594 no. parameter unit min max 1 t c cycle time, clk_out0 37.037 74.074 ns 2 t w(clkout0h) pulse duration, clk_out0 high 0.45p 0.55p ns 3 t w(clkout0l) pulse duration, clk_out0 low 0.45p 0.55p ns 4 t t(clkout0) transition time, clk_out0 0.05p ns delay time, clkin/mxi high to clk_out0 high 5 t d(clkinh-clko0h) 1 8 ns (divide-by-1 only) delay time, clkin/mxi low to clk_out0 low 6 t d(clkinl-clko0l) 1 8 ns (divide-by-1 only) delay time, clkin/mxi high to clk_out0 low 7 t d(clkinh-clko0l) 1 8 ns (divide-by-2 only) delay time, clkin/mxi high to clk_out0 high 8 t d(clkinh-clko0h) 1 8 ns (divide-by-2 only) (1) the reference points for the rise and fall transitions are measured at v ol max and v oh min. (2) p = 1/clk_out0 clock frequency in nanoseconds (ns). for example, when clk_out0 frequency is 27 mhz, use p = 37.04 ns. figure 6-16. clk_out0 timing submit documentation feedback peripheral and electrical specifications 111 clk_out0 (divide-by-1) 1 2 4 4 clkin/mxi clk_out0 (divide-by-2) 5 6 7 8 3
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-19. switching characteristics over recommended operating conditions for clk_out1 (1) (2) (see figure 6-17 ) a-513, -594 no. parameter unit min max 1 t c cycle time, clk_out1 41.667 83.33 2 t w(clkout1h) pulse duration, clk_out1 high 0.45p 0.55p ns 3 t w(clkout1l) pulse duration, clk_out1 low 0.45p 0.55p ns 4 t t(clkout1) transition time, clk_out1 0.05p ns delay time, clkin/mxi high to clk_out1 high 5 t d(clkinh-clko1h) 1 8 ns (divide-by-1 only) delay time, clkin/mxi low to clk_out1 low 6 t d(clkinl-clko1l) 1 8 ns (divide-by-1 only) delay time, clkin/mxi high to clk_out1 low 7 t d(clkinh-clko1l) 1 8 ns (divide-by-2 only) delay time, clkin/mxi high to clk_out1 high 8 t d(clkinh-clko1h) 1 8 ns (divide-by-2 only) (1) the reference points for the rise and fall transitions are measured at v ol max and v oh min. (2) p = 1/clk_out1 clock frequency in nanoseconds (ns). for example, when clk_out1 frequency is 24 mhz, use p = 41. 6 ns. figure 6-17. clk_out1 timing 112 peripheral and electrical specifications submit documentation feedback clk_out1 (divide-by-1) 1 2 4 4 clkin/mxi clk_out1 (divide-by-2) 5 6 7 8 3
6.7 interrupts 6.7.1 arm cpu interrupts tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the dm6446 device has a large number of interrupts to service the needs of its many peripherals and subsystems. both the arm and c64x+ are capable of servicing these interrupts. all of the device interrupts are routed to the arm interrupt controller with only a limited set routed to the c64x+ interrupt controller. the interrupts can be selectively enabled or disabled in either of the controllers. in typical applications, the arm handles most of the peripheral interrupts and grants control, to the c64x+, of interrupts that are relevant to dsp algorithms. also, the arm and dsp can communicate with each other through interrupts. the arm9 cpu core supports 2 direct interrupts: fiq and irq. the dm6446 arm interrupt controller prioritizes up to 64 interrupt requests from various peripherals and subsystems, which are listed in table 6-20 , and interrupts the arm cpu. each interrupt is programmable for up to 8 levels of priority. there are 6 levels for irq and 2 levels for fiq. interrupts at the same priority level are serviced in order by the arm interrupt number, with the lowest number having the highest priority. table 6-21 shows the arm interrupt controller registers and memory locations. for more details on arm interrupt control, see the documentation support section of the tms320dm6446 dmsoc arm subsystem reference guide (literature number sprue14 ). submit documentation feedback peripheral and electrical specifications 113
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-20. dm6446 arm interrupts arm arm interrupt acronym source interrupt acronym source number number 0 vdint0 vpss ccdc 0 32 tint0 timer 0 ? tint12 1 vdint1 vpss ccdc 1 33 tint1 timer 0 ? tint34 2 vdint2 vpss ccdc 2 34 tint2 timer 1 ? tint12 3 histint vpss histogram 35 tint3 timer 1 ? tint34 4 h3aint vpss ae/awb/af 36 pwmint0 pwm 0 5 prvuint vpss previewer 37 pwmint1 pwm 1 6 rszint vpss resizer 38 pwmint2 pwm 2 7 - reserved 39 i2cint i2c 8 vencint vpss vpbe 40 uartint0 uart 0 9 asqint vicp sqr (arm int) 41 uartint1 uart 1 10 imxint vicp imx 42 uartint2 uart 2 11 vlcdint vicp vlcd 43 spint0 spi 12 - reserved 44 spint1 spi 13 emacint emac memory controller 45 - reserved 14 - reserved 46 dsp2arm0 dsp controller to arm 0 15 - reserved 47 dsp2arm1 dsp controller to arm 1 16 edma3cc_int0 edma cc region 0 48 gpio0 gpio 0 17 edma3cc_errint edma cc error 49 gpio1 gpio 1 18 edma3tc_errint0 edma tc 0 error 50 gpio2 gpio 2 19 edma3tc_errint1 edma tc 1 error 51 gpio3 gpio 3 20 pscint psc allint 52 gpio4 gpio 4 21 - reserved 53 gpio5 gpio 5 22 ideint ata / ide 54 gpio6 gpio 6 23 hpint hpi 55 gpio7 gpio 7 24 aspxint asp transmit 56 gpiobnk0 gpio bank 0 25 asprint asp receive 57 gpiobnk1 gpio bank 1 26 mmcint mmc 58 gpiobnk2 gpio bank 2 27 sdioint sd 59 gpiobnk3 gpio bank 3 28 - reserved 60 gpiobnk4 gpio bank 4 29 ddrint ddr2 memory controller 61 commtx armss 30 emifaint emifa 62 commrx armss 31 vlqint vlynq 63 emuint e2ice peripheral and electrical specifications 114 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-21. arm interrupt controller registers hex address acronym register description 0x01c4 8000 fiq0 fiq interrupt status 0 [interrupt status of int[31:0] (if mapped to fiq)] 0x01c4 8004 fiq1 fiq interrupt status 1 [interrupt status of int[63:32] (if mapped to fiq)] 0x01c4 8008 irq0 irq interrupt status 0 [interrupt status of int[31:0] (if mapped to irq)] 0x01c4 800c irq1 irq interrupt status 1 [interrupt status of int[63:32] (if mapped to irq)] 0x01c4 8010 fiqentry entry address [28:0] for valid fiq interrupt 0x01c4 8014 irqentry entry address [28:0] for valid irq interrupt 0x01c4 8018 eint0 interrupt enable register 0 0x01c4 801c eint1 interrupt enable register 1 0x01c4 8020 inctl interrupt operation control register 0x01c4 8024 eabase interrupt entry table base address register 0x01c4 8028 - 0x01c4 802f - reserved 0x01c4 8030 intpri0 interrupt 0-7 priority select 0x01c4 8034 intpri1 interrupt 8-15 priority select 0x01c4 8038 intpri2 interrupt 16-23 priority select 0x01c4 803c intpri3 interrupt 24-31 priority select 0x01c4 8040 intpri4 interrupt 32-39 priority select 0x01c4 8044 intpri5 interrupt 40-47 priority select 0x01c4 8048 intpri6 interrupt 48-55 priority select 0x01c4 804c intpri7 interrupt 56-63 priority select 0x01c4 8050 - 0x01c4 83ff - reserved submit documentation feedback peripheral and electrical specifications 115
6.7.2 dsp interrupts tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the c64x+ dsp interrupt controller combines device events into 12 prioritized interrupts. the source for each of the 12 cpu interrupts is user programmable and is listed in table 6-22 . also, the interrupt controller controls the generation of the cpu exception, nmi, and emulation interrupts. table 6-23 summarizes the c64x+ interrupt controller registers and memory locations. for more details on dsp interrupt control, see the documentation support section of the tms320dm6446 dmsoc dsp subsystem reference guide (literature number sprue15 ). table 6-22. dm6446 dsp interrupts dsp dsp interrupt acronym source interrupt acronym source number number 0 evt0 c64x+ int ctl 0 64 reserved 1 evt1 c64x+ int ctl 1 65 reserved 2 evt2 c64x+ int ctl 2 66 reserved 3 evt3 c64x+ int ctl 3 67 reserved 4 tint0 timer 0 ? tint12 68 reserved 5 tint1 timer 0 ? tint34 69 reserved 6 tint2 timer 1 ? tint12 70 reserved 7 tint3 timer 1 ? tint34 71 reserved 8 reserved 72 reserved 9 emu_dtdma c64x+ emc 73 reserved 10 reserved 74 reserved 11 emu_rtdxrx c64x+ rtdx 75 reserved 12 emu_rtdxtx c64x+ rtdx 76 reserved 13 idmaint0 c64x+ emc 0 77 reserved 14 idmaint1 c64x+ emc 1 78 reserved 15 reserved 79 reserved 16 arm2dsp0 arm to dsp controller 0 80 reserved 17 arm2dsp1 arm to dsp controller 1 81 reserved 18 arm2dsp2 arm to dsp controller 2 82 reserved 19 arm2dsp3 arm to dsp controller 3 83 reserved 20 reserved 84 reserved 21 reserved 85 reserved 22 reserved 86 reserved 23 reserved 87 reserved 24 reserved 88 reserved 25 reserved 89 reserved 26 reserved 90 reserved 27 reserved 91 reserved 28 reserved 92 reserved 29 reserved 93 reserved 30 reserved 94 reserved 31 reserved 95 reserved reserved interr c64x+ interrupt controller 32 96 dropped cpu interrupt event reserved emc_idmaerr c64x+ emc invalid idma 33 97 parameters 34 reserved 98 reserved 35 reserved 99 reserved 36 edma3cc_int1 edmacc interrupt region 1 100 reserved peripheral and electrical specifications 116 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-22. dm6446 dsp interrupts (continued) dsp dsp interrupt acronym source interrupt acronym source number number 37 edma3cc_errint edma cc error 101 reserved 38 edma3tc_errint0 edma tc0 error 102 reserved 39 edma3tc_errint1 edma tc1 error 103 reserved 40 pscint psc allint 104 reserved 41 reserved 105 reserved 42 reserved 106 reserved 43 reserved 107 reserved 44 reserved 108 reserved 45 reserved 109 reserved 46 reserved 110 reserved 47 reserved 111 reserved 48 aspxint asp transmit 112 pmc_ed c64x+ pmc 49 asprint asp receive 113 reserved 50 reserved 114 reserved 51 reserved 115 reserved 52 reserved 116 umced1 c64x+ umc 1 53 reserved 117 umced2 c64x+ umc 2 54 reserved 118 pdcerr c64x+ pdc 55 reserved 119 pvcint c64x+ pdc 56 reserved 120 pmccmpa c64x+ pmc 57 reserved 121 pmcdmpa c64x+ pmc 58 reserved 122 dmccmpa c64x+ dmc 59 reserved 123 dmcdmpa c64x+ dmc 60 reserved 124 umccmpa c64x+ umc 61 reserved 125 umcdmpa c64x+ umc 62 reserved 126 emccmpa c64x+ emc 63 reserved 127 emcdmpa c64x+ emc submit documentation feedback peripheral and electrical specifications 117
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-23. c64x+ interrupt controller registers hex address acronym register description 0x0180 0000 evtflag0 event flag register 0 0x0180 0004 evtflag1 event flag register 1 0x0180 0008 evtflag2 event flag register 2 0x0180 000c evtflag3 event flag register 3 0x0180 0020 evtset0 event set register 0 0x0180 0024 evtset1 event set register 1 0x0180 0028 evtset2 event set register 2 0x0180 002c evtset3 event set register 3 0x0180 0040 evtclr0 event clear register 0 0x0180 0044 evtclr1 event clear register 1 0x0180 0048 evtclr2 event clear register 2 0x0180 004c evtclr3 event clear register 3 0x0180 0080 evtmask0 event mask register 0 0x0180 0084 evtmask1 event mask register 1 0x0180 0088 evtmask2 event mask register 2 0x0180 008c evtmask3 event mask register 3 0x0180 00a0 mevtflag0 masked event flag register 0 0x0180 00a4 mevtflag1 masked event flag register 1 0x0180 00a8 mevtflag2 masked event flag register 2 0x0180 00ac mevtflag3 masked event flag register 3 0x0180 00c0 expmask0 exception mask register 0 0x0180 00c4 expmask1 exception mask register 1 0x0180 00c8 expmask2 exception mask register 2 0x0180 00cc expmask3 exception mask register 3 0x0180 00e0 mexpflag0 masked exception flag register 0 0x0180 00e4 mexpflag1 masked exception flag register 1 0x0180 00e8 mexpflag2 masked exception flag register 2 0x0180 00ec mexpflag3 masked exception flag register 3 0x0180 0104 intmux1 interrupt mux register 1 0x0180 0108 intmux2 interrupt mux register 2 0x0180 010c intmux3 interrupt mux register 3 0x0180 0140 - 0x0180 0144 - reserved 0x0180 0180 intxstat interrupt exception status 0x0180 0184 intxclr interrupt exception clear 0x0180 0188 intdmask dropped interrupt mask register 0x0180 01c0 evtasrt event assert register peripheral and electrical specifications 118 submit documentation feedback
6.7.3 arm/dsp communications interrupts tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the intgen register is used for generating interrupts between the arm and dsp. the intgen register format is shown in figure 6-18 . table 6-24 describes the register bit fields. the arm may generate an interrupt to the dsp by setting one of the four intdsp[3:0] bits or the intnmi bit. the interrupt bit automatically self clears and the corresponding dsp[3:0]stat or nmistat bit is automatically set to indicate that the interrupt was generated. after servicing the interrupt, the dsp clears the status bit by writing ?0?. the arm may poll the status bit to determine when the dsp has completed servicing the interrupt. the dsp may generate an interrupt to the arm in the same manner using the intarm[1:0] bits and monitor arm interrupt servicing via the arm[1:0]stat bits. figure 6-18. intgen register 31 30 29 28 27 24 23 22 21 20 19 17 16 arm1 arm0 dsp3 dsp2 dsp1 dsp0 nmi reserved reserved reserved stat stat stat stat stat stat stat r-00 r/w-0 r/w-0 r-0000 r/w-0 r/w-0 r/w-0 r/w-0 r-000 r/w-0 15 14 13 12 11 8 7 6 5 4 3 1 0 int int int int int int int reserved reserved reserved arm1 arm0 dsp3 dsp2 dsp1 dsp0 nmi r-00 r/w-0 r/w-0 r-0000 r/w-0 r/w-0 r/w-0 r/w-0 r-000 r/w-0 legend: r = read, w = write, n = value at reset table 6-24. intgen register bit fields descriptions name description arm1stat dsp to arm int1 status/clear (1) arm0stat dsp to arm int0 status/clear (1) dsp3stat arm to dsp int3 status/clear (1) dsp2stat arm to dsp int2 status/clear (1) dsp1stat arm to dsp int1 status/clear (1) dsp0stat arm to dsp int0 status/clear (1) nmistat dsp nmi status/clear (1) intarm1 dsp to arm int1 set (2) intarm0 dsp to arm int0 set (2) intdsp3 arm to dsp int3 set (2) intdsp2 arm to dsp int2 set (2) intdsp1 arm to dsp int1 set (2) intdsp0 arm to dsp int0 set (2) intnmi dsp nmi set (2) (1) write '0' to clear. writing '1' has no effect. (2) write '1' to generate the interrupt. the register bit automatically clears to a value of '0'. writing a '0' has no effect. submit documentation feedback peripheral and electrical specifications 119
6.8 general-purpose input/output (gpio) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the gpio peripheral provides general-purpose pins that can be configured as either inputs or outputs. when configured as an output, a write to an internal register can control the state driven on the output pin. when configured as an input, the state of the input is detectable by reading the state of an internal register. in addition, the gpio peripheral can produce cpu interrupts and edma events in different interrupt/event generation modes. the gpio peripheral provides generic connections to external devices. the gpio pins are grouped into banks of 16 pins per bank (i.e., bank 0 consists of gpio [0:15]). the dm6446 gpio peripheral supports the following: up to 54 1.8v gpio pins, gpio[0:53] up to 17 3.3v gpio pins, gpio3v[0:16] (gpio[54:70]) interrupts: ? up to 8 unique gpio[0:7] interrupts from bank 0 ? 5 gpio bank (aggregated) interrupt signals from each of the 5 banks of gpios ? interrupts can be triggered by rising and/or falling edge, specified for each interrupt capable gpio signal dma events: ? up to 8 unique gpio dma events from bank 0 ? 5 gpio bank (aggregated) dma event signals from each of the 5 banks of gpios set/clear functionality: firmware writes 1 to corresponding bit position(s) to set or to clear gpio signal(s). this allows multiple firmware processes to toggle gpio output signals without critical section protection (disable interrupts, program gpio, re-enable interrupts, to prevent context switching to anther process during gpio programming). separate input/output registers output register in addition to set/clear so that, if preferred by firmware, some gpio output signals can be toggled by direct write to the output register(s). output register, when read, reflects output drive status. this, in addition to the input register reflecting pin status and open-drain i/o cell, allows wired logic be implemented. the memory map for the gpio registers is shown in table 6-25 . for more detailed information on gpios, see the documentation support section for the general-purpose input/output (gpio) reference guide. 120 peripheral and electrical specifications submit documentation feedback
6.8.1 gpio peripheral register description(s) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-25. gpio registers hex address range acronym register name 0x01c6 7000 pid peripheral identification register 0x01c6 7004 - reserved 0x01c6 7008 binten gpio interrupt per-bank enable gpio banks 0 and 1 0x01c6 700c - reserved 0x01c6 7010 dir01 gpio banks 0 and 1 direction register (gpio[0:31]) 0x01c6 7014 out_data01 gpio banks 0 and 1 output data register (gpio[0:31]) 0x01c6 7018 set_data01 gpio banks 0 and 1 set data register (gpio[0:31]) 0x01c6 701c clr_data01 gpio banks 0 and 1 clear data for banks 0 and 1 (gpio[0:31]) 0x01c6 7020 in_data01 gpio banks 0 and 1 input data register (gpio[0:31]) 0x01c6 7024 set_ris_trig01 gpio banks 0 and 1 set rising edge interrupt register (gpio[0:31]) 0x01c6 7028 clr_ris_trig01 gpio banks 0 and 1 clear rising edge interrupt register (gpio[0:31]) 0x01c6 702c set_fal_trig01 gpio banks 0 and 1 set falling edge interrupt register (gpio[0:31]) 0x01c6 7030 clr_fal_trig01 gpio banks 0 and 1 clear falling edge interrupt register (gpio[0:31]) 0x01c6 7034 instat01 gpio banks 0 and 1 interrupt status register (gpio[0:31]) gpio banks 2 and 3 0x01c6 7038 dir23 gpio banks 2 and 3 direction register (gpio[32:63]) 0x01c6 703c out_data23 gpio banks 2 and 3 output data register (gpio[32:63]) 0x01c6 7040 set_data23 gpio banks 2 and 3 set data register (gpio[32:63]) 0x01c6 7044 clr_data23 gpio banks 2 and 3 clear data register (gpio[32:63]) 0x01c6 7048 in_data23 gpio banks 2 and 3 input data register (gpio[32:63]) 0x01c6 704c set_ris_trig23 gpio banks 2 and 3 set rising edge interrupt register (gpio[32:63]) 0x01c6 7050 clr_ris_trig23 gpio banks 2 and 3 clear rising edge interrupt register (gpio[32:63]) 0x01c6 7054 set_fal_trig23 gpio banks 2 and 3 set falling edge interrupt register (gpio[32:63]) 0x01c6 7058 clr_fal_trig23 gpio banks 2 and 3 clear falling edge interrupt register (gpio[32:63]) 0x01c6 705c instat23 gpio banks 2 and 3 interrupt status register (gpio[32:63]) gpio bank 4 0x01c6 7060 dir4 gpio bank 4 direction register (gpio[64:70]) 0x01c6 7064 out_data4 gpio bank 4 output data register (gpio[64:70]) 0x01c6 7068 set_data4 gpio bank 4 set data register (gpio[64:70]) 0x01c6 706c clr_data4 gpio bank 4 clear data register (gpio[64:70]) 0x01c6 7070 in_data4 gpio bank 4 input data register (gpio[64:70]) 0x01c6 7074 set_ris_trig4 gpio bank 4 set rising edge interrupt register (gpio[64:70]) 0x01c6 7078 clr_ris_trig4 gpio bank 4 clear rising edge interrupt register (gpio[64:70]) 0x01c6 707c set_fal_trig4 gpio bank 4 set falling edge interrupt register (gpio[64:70]) 0x01c6 7080 clr_fal_trig4 gpio bank 4 clear falling edge interrupt register (gpio[64:70]) 0x01c6 7084 instat4 gpio bank 4 interrupt status register (gpio[64:70]) 0x01c6 7088 - 0x01c6 7fff - reserved submit documentation feedback peripheral and electrical specifications 121
6.8.2 gpio peripheral input/output electrical data/timing 6.8.3 gpio peripheral external interrupts electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-26. timing requirements for gpio inputs (1) (see figure 6-19 ) a-513, -594 no. unit min max 1 t w(gpih) pulse duration, gpix high 52 ns 2 t w(gpil) pulse duration, gpix low 52 ns (1) the pulse width given is sufficient to generate a cpu interrupt or an edma event. however, if a user wants to have dm6446 recognize the gpix changes through software polling of the gpio register, the gpix duration must be extended to allow dm6446 enough time to access the gpio register through the internal bus. table 6-27. switching characteristics over recommended operating conditions for gpio outputs (see figure 6-19 ) a-513, -594 no. parameter unit min max 3 t w(gpoh) pulse duration, gpox high 26 (1) ns 4 t w(gpol) pulse duration, gpox low 26 (1) ns (1) this parameter value should not be used as a maximum performance specification. actual performance of back-to-back accesses of the gpio is dependent upon internal bus activity. figure 6-19. gpio port timing table 6-28. timing requirements for external interrupts (1) (see figure 6-20 ) a-513, -594 no. unit min max 1 t w(ilow) width of the external interrupt pulse low 52 ns 2 t w(ihigh) width of the external interrupt pulse high 52 ns (1) the pulse width given is sufficient to generate an interrupt or an edma event. however, if a user wants to have dm6446recognize the gpio changes through software polling of the gpio register, the gpio duration must be extended to allow dm6446 enough time to access the gpio register through the internal bus. figure 6-20. gpio external interrupt timing 122 peripheral and electrical specifications submit documentation feedback gpix gpox 4 3 2 1 ext_intx 2 1
6.9 enhanced direct memory access (edma) controller 6.9.1 edma channel synchronization events tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the edma controller handles all data transfers between memories and the device slave peripherals on the dm6446 device. these data transfers include cache servicing, non-cacheable memory accesses, user-programmed data transfers, and host accesses. these are summarized as follows: transfer to/from on-chip memories ? coprocessor shared memory ? dsp l1d memory ? dsp l2 memory ? arm program/data ram transfer to/from external storage ? ddr2 sdram ? nand flash ? asynchronous emif ? smart media, sd, mmc, xd media storage ? ata/cf transfer to/from peripherals/hosts ? vlynq ? asp ? spi ? pwm ? uart the edma controller supports two addressing modes: constant addressing mode and increment addressing mode. on the dm6446 device, constant addressing mode is not supported by any peripheral or internal memory. for more information on these two addressing modes, see the tms320dm644x dmsoc enhanced direct memory access (edma) controller user's guide (literature number sprue23 ). the edma supports up to 64 edma channels which service peripheral devices and external memory. table 6-29 lists the source of edma synchronization events associated with each of the programmable edma channels. for the dm6446 device, the association of an event to a channel is fixed; each of the edma channels has one specific event associated with it. these specific events are captured in the edma event registers (er, erh) even if the events are disabled by the edma event enable registers (eer, eerh). for more detailed information on the edma module and how edma events are enabled, captured, processed, linked, chained, and cleared, etc., see the document support section of the tms320dm644x dmsoc enhanced direct memory access (edma) controller user's guide (literature number sprue23 ). table 6-29. dm6446 edma channel synchronization events (1) edma event name event description channel 0-1 reserved 2 xevt asp transmit event 3 revt asp receive event 4 histevt vpss histogram event 5 h3aevt vpss h3a event 6 prvuevt vpss previewer event 7 rszevt vpss resizer event (1) in addition to the events shown in this table, each of the 64 channels can also be synchronized with the transfer completion or alternate transfer completion events. for more detailed information on edma event-transfer chaining, see the document support section of the tms320dm644x dmsoc enhanced direct memory access (edma) controller user's guide (literature number sprue23 ). submit documentation feedback peripheral and electrical specifications 123
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-29. dm6446 edma channel synchronization events (continued) edma event name event description channel 8 imxint vicp interrupt 9 vlcdint vicp vlcd interrupt 10 asqint vicp asq interrupt 11 dsqint vicp dsq interrupt 12-15 reserved 16 spixevt spi transmit event 17 spirevt spi receive event 18 urxevt0 uart 0 receive event 19 utxevt0 uart 0 transmit event 20 urxevt1 uart 1 receive event 21 utxevt1 uart 1 transmit event 22 urxevt2 uart 2 receive event 23 utxevt2 uart 2 transmit event 24 reserved 25 reserved 26 mmcrxevt mmc receive event 27 mmctxevt mmc transmit event 28 i2crevt i2c receive event 29 i2cxevt i2c transmit event 30-31 reserved 32 gpint0 gpio 0 interrupt 33 gpint1 gpio 1 interrupt 34 gpint2 gpio 2 interrupt 35 gpint3 gpio 3 interrupt 36 gpint4 gpio 4 interrupt 37 gpint5 gpio 5 interrupt 38 gpint6 gpio 6 interrupt 39 gpint7 gpio 7 interrupt 40 gpbnkint0 gpio bank 0 interrupt 41 gpbnkint1 gpio bank 1 interrupt 42 gpbnkint2 gpio bank 2 interrupt 43 gpbnkint3 gpio bank 3 interrupt 44 gpbnkint4 gpio bank 4 interrupt 45-47 reserved 48 tint0 timer 0 interrupt 49 tint1 timer 1 interrupt 50 tint2 timer 2 interrupt 51 tint3 timer 3 interrupt 52 pwm0 pwm 0 event 53 pwm1 pwm 1 event 54 pwm2 pwm 2 event 55-63 reserved peripheral and electrical specifications 124 submit documentation feedback
6.9.2 edma peripheral register descriptions tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-30 lists the edma registers, their corresponding acronyms, and dm6446 device memory locations. table 6-30. dm6446 edma registers hex address acronym register name channel controller registers 0x01c0 0000 - 0x01c0 0003 reserved 0x01c0 0004 cccfg edma3cc configuration register 0x01c0 0008 - 0x01c0 01ff reserved global registers 0x01c0 0200 qchmap0 qdma channel 0 mapping to param register 0x01c0 0204 qchmap1 qdma channel 1 mapping to param register 0x01c0 0208 qchmap2 qdma channel 2 mapping to param register 0x01c0 020c qchmap3 qdma channel 3 mapping to param register 0x01c0 0210 qchmap4 qdma channel 4 mapping to param register 0x01c0 0214 qchmap5 qdma channel 5 mapping to param register 0x01c0 0218 qchmap6 qdma channel 6 mapping to param register 0x01c0 021c qchmap7 qdma channel 7 mapping to param register 0x01c0 0240 dmaqnum0 dma queue number register 0 (channels 00 to 07) 0x01c0 0244 dmaqnum1 dma queue number register 1 (channels 08 to 15) 0x01c0 0248 dmaqnum2 dma queue number register 2 (channels 16 to 23) 0x01c0 024c dmaqnum3 dma queue number register 3 (channels 24 to 31) 0x01c0 0250 dmaqnum4 dma queue number register 4 (channels 32 to 39) 0x01c0 0254 dmaqnum5 dma queue number register 5 (channels 40 to 47) 0x01c0 0258 dmaqnum6 dma queue number register 6 (channels 48 to 55) 0x01c0 025c dmaqnum7 dma queue number register 7 (channels 56 to 63) 0x01c0 0260 qdmaqnum cc qdma queue number 0x01c0 0264 - 0x01c0 0283 ? reserved 0x01c0 0284 quepri queue priority register 0x01c0 0288 - 0x01c0 02ff ? reserved 0x01c0 0300 emr event missed register 0x01c0 0304 emrh event missed register high 0x01c0 0308 emcr event missed clear register 0x01c0 030c emcrh event missed clear register high 0x01c0 0310 qemr qdma event missed register 0x01c0 0314 qemcr qdma event missed clear register 0x01c0 0318 ccerr edma3cc error register 0x01c0 031c ccerrclr edma3cc error clear register 0x01c0 0320 eeval error evaluate register 0x01c0 0340 drae0 dma region access enable register for region 0 0x01c0 0344 draeh0 dma region access enable register high for region 0 0x01c0 0348 drae1 dma region access enable register for region 1 0x01c0 034c draeh1 dma region access enable register high for region 1 0x01c0 0350 drae2 dma region access enable register for region 2 0x01c0 0354 draeh2 dma region access enable register high for region 2 0x01c0 0358 drae3 dma region access enable register for region 3 0x01c0 035c draeh3 dma region access enable register high for region 3 0x01c0 0360 - 0x01c0 037c ? reserved submit documentation feedback peripheral and electrical specifications 125
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c0 0380 qrae0 qdma region access enable register for region 0 0x01c0 0384 qrae1 qdma region access enable register for region 1 0x01c0 0388 qrae2 qdma region access enable register for region 2 0x01c0 038c qrae3 qdma region access enable register for region 3 0x01c0 0390 - 0x01c0 039c ? reserved 0x01c0 0400 q0e0 event q0 entry 0 register 0x01c0 0404 q0e1 event q0 entry 1 register 0x01c0 0408 q0e2 event q0 entry 2 register 0x01c0 040c q0e3 event q0 entry 3 register 0x01c0 0410 q0e4 event q0 entry 4 register 0x01c0 0414 q0e5 event q0 entry 5 register 0x01c0 0418 q0e6 event q0 entry 6 register 0x01c0 041c q0e7 event q0 entry 7 register 0x01c0 0420 q0e8 event q0 entry 8 register 0x01c0 0424 q0e9 event q0 entry 9 register 0x01c0 0428 q0e10 event q0 entry 10 register 0x01c0 042c q0e11 event q0 entry 11 register 0x01c0 0430 q0e12 event q0 entry 12 register 0x01c0 0434 q0e13 event q0 entry 13 register 0x01c0 0438 q0e14 event q0 entry 14 register 0x01c0 043c q0e15 event q0 entry 15 register 0x01c0 0440 q1e0 event q1 entry 0 register 0x01c0 0444 q1e1 event q1 entry 1 register 0x01c0 0448 q1e2 event q1 entry 2 register 0x01c0 044c q1e3 event q1 entry 3 register 0x01c0 0450 q1e4 event q1 entry 4 register 0x01c0 0454 q1e5 event q1 entry 5 register 0x01c0 0458 q1e6 event q1 entry 6 register 0x01c0 045c q1e7 event q1 entry 7 register 0x01c0 0460 q1e8 event q1 entry 8 register 0x01c0 0464 q1e9 event q1 entry 9 register 0x01c0 0468 q1e10 event q1 entry 10 register 0x01c0 046c q1e11 event q1 entry 11 register 0x01c0 0470 q1e12 event q1 entry 12 register 0x01c0 0474 q1e13 event q1 entry 13 register 0x01c0 0478 q1e14 event q1 entry 14 register 0x01c0 047c q1e15 event q1 entry 15 register 0x01c0 0480 - 0x01c0 05ff reserved 0x01c0 0600 qstat0 queue 0 status register 0x01c0 0604 qstat1 queue 1 status register 0x01c0 0608 - 0x01c0 061f reserved 0x01c0 0620 qwmthra queue watermark threshold a register for q[3:0] 0x01c0 0624 ? reserved 0x01c0 0640 ccstat edma3cc status register 0x01c0 0644 - 0x01c0 0fff reserved peripheral and electrical specifications 126 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-30. dm6446 edma registers (continued) hex address acronym register name global channel registers 0x01c0 1000 er event register 0x01c0 1004 erh event register high 0x01c0 1008 ecr event clear register 0x01c0 100c ecrh event clear register high 0x01c0 1010 esr event set register 0x01c0 1014 esrh event set register high 0x01c0 1018 cer chained event register 0x01c0 101c cerh chained event register high 0x01c0 1020 eer event enable register 0x01c0 1024 eerh event enable register high 0x01c0 1028 eecr event enable clear register 0x01c0 102c eecrh event enable clear register high 0x01c0 1030 eesr event enable set register 0x01c0 1034 eesrh event enable set register high 0x01c0 1038 ser secondary event register 0x01c0 103c serh secondary event register high 0x01c0 1040 secr secondary event clear register 0x01c0 1044 secrh secondary event clear register high 0x01c0 1048 - 0x01c0 104f reserved 0x01c0 1050 ier interrupt enable register 0x01c0 1054 ierh interrupt enable register high 0x01c0 1058 iecr interrupt enable clear register 0x01c0 105c iecrh interrupt enable clear register high 0x01c0 1060 iesr interrupt enable set register 0x01c0 1064 iesrh interrupt enable set register high 0x01c0 1068 ipr interrupt pending register 0x01c0 106c iprh interrupt pending register high 0x01c0 1070 icr interrupt clear register 0x01c0 1074 icrh interrupt clear register high 0x01c0 1078 ieval interrupt evaluate register 0x01c0 1080 qer qdma event register 0x01c0 1084 qeer qdma event enable register 0x01c0 1088 qeecr qdma event enable clear register 0x01c0 108c qeesr qdma event enable set register 0x01c0 1090 qser qdma secondary event register 0x01c0 1094 qsecr qdma secondary event clear register 0x01c0 1098 - 0x01c0 1fff reserved shadow region 0 channel registers 0x01c0 2000 er event register 0x01c0 2004 erh event register high 0x01c0 2008 ecr event clear register 0x01c0 200c ecrh event clear register high 0x01c0 2010 esr event set register 0x01c0 2014 esrh event set register high 0x01c0 2018 cer chained event register 0x01c0 201c cerh chained event register high submit documentation feedback peripheral and electrical specifications 127
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c0 2020 eer event enable register 0x01c0 2024 eerh event enable register high 0x01c0 2028 eecr event enable clear register 0x01c0 202c eecrh event enable clear register high 0x01c0 2030 eesr event enable set register 0x01c0 2034 eesrh event enable set register high 0x01c0 2038 ser secondary event register 0x01c0 203c serh secondary event register high 0x01c0 2040 secr secondary event clear register 0x01c0 2044 secrh secondary event clear register high 0x01c0 2048 - 0x01c0 204c - reserved 0x01c0 2050 ier interrupt enable register 0x01c0 2054 ierh interrupt enable register high 0x01c0 2058 iecr interrupt enable clear register 0x01c0 205c iecrh interrupt enable clear register high 0x01c0 2060 iesr interrupt enable set register 0x01c0 2064 iesrh interrupt enable set register high 0x01c0 2068 ipr interrupt pending register 0x01c0 206c iprh interrupt pending register high 0x01c0 2070 icr interrupt clear register 0x01c0 2074 icrh interrupt clear register high 0x01c0 2078 ieval interrupt evaluate register 0x01c0 207c - reserved 0x01c0 2080 qer qdma event register 0x01c0 2084 qeer qdma event enable register 0x01c0 2088 qeecr qdma event enable clear register 0x01c0 208c qeesr qdma event enable set register 0x01c0 2090 qser qdma secondary event register 0x01c0 2094 qsecr qdma secondary event clear register 0x01c0 2098 - 0x01c0 21fc - reserved shadow region 1 channel registers 0x01c0 2200 er event register 0x01c0 2204 erh event register high 0x01c0 2208 ecr event clear register 0x01c0 220c ecrh event clear register high 0x01c0 2210 esr event set register 0x01c0 2214 esrh event set register high 0x01c0 2218 cer chained event register 0x01c0 221c cerh chained event register high 0x01c0 2220 eer event enable register 0x01c0 2224 eerh event enable register high 0x01c0 2228 eecr event enable clear register 0x01c0 222c eecrh event enable clear register high 0x01c0 2230 eesr event enable set register 0x01c0 2234 eesrh event enable set register high 0x01c0 2238 ser secondary event register 0x01c0 223c serh secondary event register high peripheral and electrical specifications 128 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c0 2240 secr secondary event clear register 0x01c0 2244 secrh secondary event clear register high 0x01c0 2248 - 0x01c0 224c - reserved 0x01c0 2250 ier interrupt enable register 0x01c0 2254 ierh interrupt enable register high 0x01c0 2258 iecr interrupt enable clear register 0x01c0 225c iecrh interrupt enable clear register high 0x01c0 2260 iesr interrupt enable set register 0x01c0 2264 iesrh interrupt enable set register high 0x01c0 2268 ipr interrupt pending register 0x01c0 226c iprh interrupt pending register high 0x01c0 2270 icr interrupt clear register 0x01c0 2274 icrh interrupt clear register high 0x01c0 2278 ieval interrupt evaluate register 0x01c0 227c - reserved 0x01c0 2280 qer qdma event register 0x01c0 2284 qeer qdma event enable register 0x01c0 2288 qeecr qdma event enable clear register 0x01c0 228c qeesr qdma event enable set register 0x01c0 2290 qser qdma secondary event register 0x01c0 2294 qsecr qdma secondary event clear register 0x01c0 2298 - 0x01c0 23fc - reserved shadow region 2 channel registers 0x01c0 2400 er event register 0x01c0 2404 erh event register high 0x01c0 2408 ecr event clear register 0x01c0 240c ecrh event clear register high 0x01c0 2410 esr event set register 0x01c0 2414 esrh event set register high 0x01c0 2418 cer chained event register 0x01c0 241c cerh chained event register high 0x01c0 2420 eer event enable register 0x01c0 2424 eerh event enable register high 0x01c0 2428 eecr event enable clear register 0x01c0 242c eecrh event enable clear register high 0x01c0 2430 eesr event enable set register 0x01c0 2434 eesrh event enable set register high 0x01c0 2438 ser secondary event register 0x01c0 243c serh secondary event register high 0x01c0 2440 secr secondary event clear register 0x01c0 2444 secrh secondary event clear register high 0x01c0 2448 - 0x01c0 244c - reserved 0x01c0 2450 ier interrupt enable register 0x01c0 2454 ierh interrupt enable register high 0x01c0 2458 iecr interrupt enable clear register 0x01c0 245c iecrh interrupt enable clear register high 0x01c0 2460 iesr interrupt enable set register submit documentation feedback peripheral and electrical specifications 129
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c0 2464 iesrh interrupt enable set register high 0x01c0 2468 ipr interrupt pending register 0x01c0 246c iprh interrupt pending register high 0x01c0 2470 icr interrupt clear register 0x01c0 2474 icrh interrupt clear register high 0x01c0 2478 ieval interrupt evaluate register 0x01c0 247c - reserved 0x01c0 2480 qer qdma event register 0x01c0 2484 qeer qdma event enable register 0x01c0 2488 qeecr qdma event enable clear register 0x01c0 248c qeesr qdma event enable set register 0x01c0 2490 qser qdma secondary event register 0x01c0 2494 qsecr qdma secondary event clear register 0x01c0 2498 - 0x01c0 25fc - reserved shadow region 3 channel registers 0x01c0 2600 er event register 0x01c0 2604 erh event register high 0x01c0 2608 ecr event clear register 0x01c0 260c ecrh event clear register high 0x01c0 2610 esr event set register 0x01c0 2614 esrh event set register high 0x01c0 2618 cer chained event register 0x01c0 261c cerh chained event register high 0x01c0 2620 eer event enable register 0x01c0 2624 eerh event enable register high 0x01c0 2628 eecr event enable clear register 0x01c0 262c eecrh event enable clear register high 0x01c0 2630 eesr event enable set register 0x01c0 2634 eesrh event enable set register high 0x01c0 2638 ser secondary event register 0x01c0 263c serh secondary event register high 0x01c0 2640 secr secondary event clear register 0x01c0 2644 secrh secondary event clear register high 0x01c0 2648 - 0x01c0 264c - reserved 0x01c0 2650 ier interrupt enable register 0x01c0 2654 ierh interrupt enable register high 0x01c0 2658 iecr interrupt enable clear register 0x01c0 265c iecrh interrupt enable clear register high 0x01c0 2660 iesr interrupt enable set register 0x01c0 2664 iesrh interrupt enable set register high 0x01c0 2668 ipr interrupt pending register 0x01c0 266c iprh interrupt pending register high 0x01c0 2670 icr interrupt clear register 0x01c0 2674 icrh interrupt clear register high 0x01c0 2678 ieval interrupt evaluate register 0x01c0 267c - reserved 0x01c0 2680 qer qdma event register peripheral and electrical specifications 130 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c0 2684 qeer qdma event enable register 0x01c0 2688 qeecr qdma event enable clear register 0x01c0 268c qeesr qdma event enable set register 0x01c0 2690 qser qdma secondary event register 0x01c0 2694 qsecr qdma secondary event clear register 0x01c0 2698 - 0x01c0 27fc - reserved 0x01c0 2800 - 0x01c0 29fc - reserved 0x01c0 2a00 - 0x01c0 2bfc - reserved 0x01c0 2c00 - 0x01c0 2dfc - reserved 0x01c0 2e00 - 0x01c0 2ffc - reserved 0x01c0 2ffd - 0x01c0 3fff - reserved 0x01c0 4000 - 0x01c0 4fff - parameter set ram (see table 6-31 ) 0x01c0 5000 - 0x01c0 7fff - reserved 0x01c0 8000 - 0x01c0 ffff - reserved transfer controller 0 registers 0x01c1 0000 - reserved 0x01c1 0004 tccfg edma3 tc0 configuration register 0x01c1 0008 - 0x01c1 00ff - reserved 0x01c1 0100 tcstat edma3 tc0 channel status register 0x01c1 0104 - 0x01c1 0110 - reserved 0x01c1 0114 - 0x01c1 011f - reserved 0x01c1 0120 errstat edma3 tc0 error status register 0x01c1 0124 erren edma3 tc0 error enable register 0x01c1 0128 errclr edma3 tc0 error clear register 0x01c1 012c errdet edma3 tc0 error details register 0x01c1 0130 errcmd edma3 tc0 error interrupt command register 0x01c1 0134 - 0x01c1 013f - reserved 0x01c1 0140 rdrate edma3 tc0 read rate register 0x01c1 0144 - 0x01c1 01ff - reserved 0x01c1 0200 - 0x01c1 023f - reserved 0x01c1 0240 saopt edma3 tc0 source active options register 0x01c1 0244 sasrc edma3 tc0 source active source address register 0x01c1 0248 sacnt edma3 tc0 source active count register 0x01c1 024c sadst edma3 tc0 source active destination address register 0x01c1 0250 sabidx edma3 tc0 source active source b-index register 0x01c1 0254 sampprxy edma3 tc0 source active memory protection proxy register 0x01c1 0258 sacntrld edma3 tc0 source active count reload register 0x01c1 025c sasrcbref edma3 tc0 source active source address b-reference register 0x01c1 0260 sadstbref edma3 tc0 source active destination address b-reference register 0x01c1 0264 - 0x01c1 027f - reserved 0x01c1 0280 dfcntrld edma3 tc0 destination fifo set count reload register 0x01c1 0284 dfsrcbref edma3 tc0 destination fifo set source address b-reference register edma3 tc0 destination fifo set destination address b-reference 0x01c1 0288 dfdstbref register 0x01c1 028c - 0x01c1 02ff - reserved 0x01c1 0300 dfopt0 edma3 tc0 destination fifo options register 0 0x01c1 0304 dfsrc0 edma3 tc0 destination fifo source address register 0 submit documentation feedback peripheral and electrical specifications 131
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c1 0308 dfcnt0 edma3 tc0 destination fifo count register 0 0x01c1 030c dfdst0 edma3 tc0 destination fifo destination address register 0 0x01c1 0310 dfbidx0 edma3 tc0 destination fifo bidx register 0 0x01c1 0314 dfmpprxy0 edma3 tc0 destination fifo memory protection proxy register 0 0x01c1 0318 - 0x01c1 033f - reserved 0x01c1 0340 dfopt1 edma3 tc0 destination fifo options register 1 0x01c1 0344 dfsrc1 edma3 tc0 destination fifo source address register 1 0x01c1 0348 dfcnt1 edma3 tc0 destination fifo count register 1 0x01c1 034c dfdst1 edma3 tc0 destination fifo destination address register 1 0x01c1 0350 dfbidx1 edma3 tc0 destination fifo bidx register 1 0x01c1 0354 dfmpprxy1 edma3 tc0 destination fifo memory protection proxy register 1 0x01c1 0358 - 0x01c1 037f - reserved 0x01c1 0380 dfopt2 edma3 tc0 destination fifo options register 2 0x01c1 0384 dfsrc2 edma3 tc0 destination fifo source address register 2 0x01c1 0388 dfcnt2 edma3 tc0 destination fifo count register 2 0x01c1 038c dfdst2 edma3 tc0 destination fifo destination address register 2 0x01c1 0390 dfbidx2 edma3 tc0 destination fifo bidx register 2 0x01c1 0394 dfmpprxy2 edma3 tc0 destination fifo memory protection proxy register 2 0x01c1 0398 - 0x01c1 03bf - reserved 0x01c1 03c0 dfopt3 edma3 tc0 destination fifo options register 3 0x01c1 03c4 dfsrc3 edma3 tc0 destination fifo source address register 3 0x01c1 03c8 dfcnt3 edma3 tc0 destination fifo count register 3 0x01c1 03cc dfdst3 edma3 tc0 destination fifo destination address register 3 0x01c1 03d0 dfbidx3 edma3 tc0 destination fifo bidx register 3 0x01c1 03d4 dfmpprxy3 edma3 tc0 destination fifo memory protection proxy register 3 0x01c1 03d8 - 0x01c1 03ff - reserved transfer controller 1 registers 0x01c1 0400 - reserved 0x01c1 0404 tccfg edma3 tc1 configuration register 0x01c1 0408 - 0x01c1 04ff - reserved 0x01c1 0500 tcstat edma3 tc1 channel status register 0x01c1 0504 - 0x01c1 0510 - reserved 0x01c1 0514 - 0x01c1 051f - reserved 0x01c1 0520 errstat edma3 tc1 error status register 0x01c1 0524 erren edma3 tc1 error enable register 0x01c1 0528 errclr edma3 tc1 error clear register 0x01c1 052c errdet edma3 tc1 error details register 0x01c1 0530 errcmd edma3 tc1 error interrupt command register 0x01c1 0534 - 0x01c1 053f - reserved 0x01c1 0540 rdrate edma3 tc1 read rate register 0x01c1 0544 - 0x01c1 05ff - reserved 0x01c1 0600 - 0x01c1 063f - reserved 0x01c1 0640 saopt edma3 tc1 source active options register 0x01c1 0644 sasrc edma3 tc1 source active source address register 0x01c1 0648 sacnt edma3 tc1 source active count register 0x01c1 064c sadst edma3 tc1 source active destination address register 0x01c1 0650 sabidx edma3 tc1 source active source b-index register peripheral and electrical specifications 132 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-30. dm6446 edma registers (continued) hex address acronym register name 0x01c1 0654 sampprxy edma3 tc1 source active memory protection proxy register 0x01c1 0658 sacntrld edma3 tc1 source active count reload register 0x01c1 065c sasrcbref edma3 tc1 source active source address b-reference register 0x01c1 0660 sadstbref edma3 tc1 source active destination address b-reference register 0x01c1 0664 - 0x01c1 067f - reserved 0x01c1 0680 dfcntrld edma3 tc1 destination fifo set count reload register 0x01c1 0684 dfsrcbref edma3 tc1 destination fifo set source address b-reference register edma3 tc1 destination fifo set destination address b-reference 0x01c1 0688 dfdstbref register 0x01c1 068c - 0x01c1 06ff - reserved 0x01c1 0700 dfopt0 edma3 tc1 destination fifo options register 0 0x01c1 0704 dfsrc0 edma3 tc1 destination fifo source address register 0 0x01c1 0708 dfcnt0 edma3 tc1 destination fifo count register 0 0x01c1 070c dfdst0 edma3 tc1 destination fifo destination address register 0 0x01c1 0710 dfbidx0 edma3 tc1 destination fifo bidx register 0 0x01c1 0714 dfmpprxy0 edma3 tc1 destination fifo memory protection proxy register 0 0x01c1 0718 - 0x01c1 073f - reserved 0x01c1 0740 dfopt1 edma3 tc1 destination fifo options register 1 0x01c1 0744 dfsrc1 edma3 tc1 destination fifo source address register 1 0x01c1 0748 dfcnt1 edma3 tc1 destination fifo count register 1 0x01c1 074c dfdst1 edma3 tc1 destination fifo destination address register 1 0x01c1 0750 dfbidx1 edma3 tc1 destination fifo bidx register 1 0x01c1 0754 dfmpprxy1 edma3 tc1 destination fifo memory protection proxy register 1 0x01c1 0758 - 0x01c1 077f - reserved 0x01c1 0780 dfopt2 edma3 tc1 destination fifo options register 2 0x01c1 0784 dfsrc2 edma3 tc1 destination fifo source address register 2 0x01c1 0788 dfcnt2 edma3 tc1 destination fifo count register 2 0x01c1 078c dfdst2 edma3 tc1 destination fifo destination address register 2 0x01c1 0790 dfbidx2 edma3 tc1 destination fifo bidx register 2 0x01c1 0794 dfmpprxy2 edma3 tc1 destination fifo memory protection proxy register 2 0x01c1 0798 - 0x01c1 07bf - reserved 0x01c1 07c0 dfopt3 edma3 tc1 destination fifo options register 3 0x01c1 07c4 dfsrc3 edma3 tc1 destination fifo source address register 3 0x01c1 07c8 dfcnt3 edma3 tc1 destination fifo count register 3 0x01c1 07cc dfdst3 edma3 tc1 destination fifo destination address register 3 0x01c1 07d0 dfbidx3 edma3 tc1 destination fifo bidx register 3 0x01c1 07d4 dfmpprxy3 edma3 tc1 destination fifo memory protection proxy register 3 0x01c1 07d8 - 0x01c1 07ff - reserved table 6-31 shows an abbreviation of the set of registers which make up the parameter set for each of 128 edma events. each of the parameter register sets consist of 8 32-bit word entries. table 6-32 shows the parameter set entry registers with relative memory address locations within each of the parameter sets. submit documentation feedback peripheral and electrical specifications 133
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-31. edma parameter set ram hex address range description 0x01c0 4000 - 0x01c0 401f parameters set 0 (8 32-bit words) 0x01c0 4020 - 0x01c0 403f parameters set 1 (8 32-bit words) 0x01c0 4040 - 0x01c0 405f parameters set 2 (8 32-bit words) 0x01c0 4060 - 0x01c0 407f parameters set 3 (8 32-bit words) 0x01c0 4080 - 0x01c0 409f parameters set 4 (8 32-bit words) 0x01c0 40a0 - 0x01c0 40bf parameters set 5 (8 32-bit words) ... ... 0x01c0 4fc0 - 0x01c0 4fdf parameters set 126 (8 32-bit words) 0x01c0 4fe0 - 0x01c0 4fff parameters set 127 (8 32-bit words) table 6-32. parameter set entries hex offset address acronym parameter entry within the parameter set 0x0000 opt option 0x0004 src source address 0x0008 a_b_cnt a count, b count 0x000c dst destination address 0x0010 src_dst_bidx source b index, destination b index 0x0014 link_bcntrld link address, b count reload 0x0018 src_dst_cidx source c index, destination c index 0x001c ccnt c count peripheral and electrical specifications 134 submit documentation feedback
6.10 external memory interface (emif) 6.10.1 asynchronous emif (emifa) 6.10.1.1 nand (nand, smartmedia, xd) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 dm6446 supports several memory and external device interfaces, including: asynchronous emif (emifa) for interfacing to nor flash, sram, etc. nand flash ata/cf the dm6446 asynchronous emif (emifa) provides an 8-bit or 16-bit data bus, an address bus width up to 24-bits, and 4 dedicated chip selects, along with memory control signals. these signals are multiplexed between three peripherals: emifa and nand interfaces ata/cf host port interface the emifa interface provides both the asynchronous emif and nand interfaces. four chip selects are provided and each are individually configurable to provide either emifa or nand support. the nand features supported are as follows. nand flash on up to 4 asynchronous chip selects. 8 and 16-bit data bus widths. programmable cycle timings. performs ecc calculation. nand mode also supports smartmedia/ssfdc (solid state floppy disk controller) and xd memory cards arm rom supports booting of the dm6446 arm processor from nand flash located at cs2 the memory map for emifa and nand registers is shown in table 6-33 . for more details on the emifa and nand interfaces, see the tms320dm644x dmsoc peripherals overview reference guide (literature number sprue19 ) and the tms320dm644x dmsoc asynchronous external memory interface (emif) user's guide (literature number sprue20 ). submit documentation feedback peripheral and electrical specifications 135
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-33. emifa/nand registers hex address range acronym register name 0x01e0 0000 - 0x01e0 0003 reserved 0x01e0 0004 awccr asynchronous wait cycle configuration register 0x01e0 0008 - 0x01e0 000f reserved 0x01e0 0010 a1cr asynchronous 1 configuration register (cs2 space) 0x01e0 0014 a2cr asynchronous 2 configuration register (cs3 space) 0x01e0 0018 a3cr asynchronous 3 configuration register (cs4 space) 0x01e0 001c a4cr asynchronous 4 configuration register (cs5 space) 0x01e0 0020 - 0x01e0 003f - reserved 0x01e0 0040 eirr emif interrupt raw register 0x01e0 0044 eimr emif interrupt mask register 0x01e0 0048 eimsr emif interrupt mask set register 0x01e0 004c eimcr emif interrupt mask clear register 0x01e0 0050 - 0x01e0 005f - reserved 0x01e0 0060 nandfcr nand flash control register 0x01e0 0064 nandfsr nand flash status register 0x01e0 0070 nandf1ecc nand flash 1 ecc register (cs2 space) 0x01e0 0074 nandf2ecc nand flash 2 ecc register (cs3 space) 0x01e0 0078 nandf3ecc nand flash 3 ecc register (cs4 space) 0x01e0 007c nandf4ecc nand flash 4 ecc register (cs5 space) 0x01e0 0080 - 0x01e0 0fff - reserved peripheral and electrical specifications 136 submit documentation feedback
6.10.1.2 emifa electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-34. timing requirements for asynchronous memory cycles for emifa module (1) (see figure 6-21 and figure 6-22 ) a-513, -594 no. unit min max reads and writes 2 t w(em_wait) pulse duration, em_wait assertion and deassertion 2e ns reads 12 t su(emdv-emoeh) setup time, em_d[15:0] valid before em_oe high 10.5 ns 13 t h(emoeh-emdiv) hold time, em_d[15:0] valid after em_oe high 0 ns 14 t su(emwait-emoeh) setup time, em_wait asserted before em_oe high (2) 4e + 10.4 ns writes 28 t su(emwait-emweh) setup time, em_wait asserted before em_we high (2) 4e + 10.4 ns (1) e = sysclk5 period in ns for emifa. for example, when running the dsp cpu at 594 mhz, use e = 10.1 ns. (2) setup before end of strobe phase (if no extended wait states are inserted) by which em_wait must be asserted to add extended wait states. figure 6-23 and figure 6-24 describe emif transactions that include extended wait states inserted during the strobe phase. however, cycles inserted as part of this extended wait period should not be counted; the 4e requirement is to the start of where the hold phase would begin if there were no extended wait cycles. submit documentation feedback peripheral and electrical specifications 137
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-35. switching characteristics over recommended operating conditions for asynchronous memory cycles for emifa module (1) (2) (see figure 6-21 and figure 6-22 ) a-513, -594 no. parameter unit min max reads and writes 1 t d(turnaround) turn around time (ta + 1) * e - 2 (ta + 1) * e + 2 ns reads (rs + rst + rh + (rs + rst + rh + ta + emif read cycle time (ew = 0) ns ta + 4) * e - 0.5 4) * e + 0.5 3 t c(emrcycle) (rs + rst + rh + emif read cycle time (ew = 1) 4184 * e + 0.5 ns ta + 4) * e - 0.5 output setup time, em_cs[5:2] low to em_oe low (ss (rs + 1) * e - 1 (rs + 1) * e + 1.4 ns = 0) 4 t su(emcsl-emoel) output setup time, em_cs[5:2] low to em_oe low (ss -1 ns = 1) output hold time, em_oe high to em_cs[5:2] high (rh + 1) * e - 2.1 (rh + 1) * e + 1.4 ns (ss = 0) 5 t h(emoeh-emcsh) output hold time, em_oe high to em_cs[5:2] high -2.2 ns (ss = 1) 6 t su(embav-emoel) output setup time, em_ba[1:0] valid to em_oe low (rs + 1) * e - 1.8 (rs + 1) * e + 1.3 ns 7 t h(emoeh-embaiv) output hold time, em_oe high to em_ba[1:0] invalid (rh + 1) * e - 2.3 (rh + 1) * e + 1.1 ns 8 t su(emav-emoel) output setup time, em_a[21:0] valid to em_oe low (rs + 1) * e - 1.9 (rs + 1) * e + 1.5 ns 9 t h(emoeh-emaiv) output hold time, em_oe high to em_a[21:0] invalid (rh + 1) * e - 2.6 (rh + 1) * e + 1.2 ns em_oe active low width (ew = 0) (rst + 1) * e - 2 (rst + 1) * e + 2 ns 10 t w(emoel) em_oe active low width (ew = 1) (rst + 1) * e - 2 (rst + 4097) * e + 2 ns 11 t d(emwaith-emoeh) delay time from em_wait deasserted to em_oe high 4e + 10.4 ns writes (ws + wst + wh (ws + wst + wh + ta emif write cycle time (ew = 0) ns + ta + 4) * e - 0.5 + 4) * e + 0.5 15 t c(emwcycle) (ws + wst + wh emif write cycle time (ew = 1) 4184 * e + 0.5 ns + ta + 4) * e -0.5 output setup time, em_cs[5:2] low to em_we low (ws + 1) * e - 0.9 (ws + 1) * e + 1.4 ns (ss = 0) 16 t su(emcsl-emwel) output setup time, em_cs[5:2] low to em_we low -1 ns (ss = 1) output hold time, em_we high to em_cs[5:2] high (wh + 1) * e - 2.1 (wh + 1) * e + 1.1 ns (ss = 0) 17 t h(emweh-emcsh) output hold time, em_we high to em_cs[5:2] high -2.1 ns (ss = 1) 18 t su(emrnw-emwel) output setup time, em_r/ w valid to em_we low (ws + 1) * e - 0.7 (ws + 1) * e + 0.9 ns 19 t h(emweh-emrnw) output hold time, em_we high to em_r/ w invalid (wh + 1) * e - 0.9 (wh + 1) * e + 0.9 ns 20 t su(embav-emwel) output setup time, em_ba[1:0] valid to em_we low (ws + 1) * e - 1.7 (ws + 1) * e + 1.5 ns 21 t h(emweh-embaiv) output hold time, em_we high to em_ba[1:0] invalid (wh + 1) * e - 2.3 (wh + 1) * e + 0.9 ns 22 t su(emav-emwel) output setup time, em_a[21:0] valid to em_we low (ws + 1) * e - 1.8 (ws + 1) * e + 1.7 ns 23 t h(emweh-emaiv) output hold time, em_we high to em_a[21:0] invalid (wh + 1) * e - 2.6 (wh + 1) * e + 1 ns em_we active low width (ew = 0) (wst + 1) * e - 2 (wst + 1) * e + 2 ns 24 t w(emwel) em_we active low width (ew = 1) (wst + 1) * e - 2 (wst + 4097) * e + 2 25 t d(emwaith-emweh) delay time from em_wait deasserted to em_we high 4e + 10.4 ns (1) rs = read setup, rst = read strobe, rh = read hold, ws = write setup, wst = write strobe, wh = write hold, ta = turn around, ew = extend wait mode, ss = select strobe mode. these parameters are programmed via the asynchronous bank and asynchronous wait cycle configuration registers and support the following range of values: ta[3:0], rs[15:0], rst[63:0], rh[7:0], ws[15:0], wst[63:0], wh[7:0], and ew[255:0]. for more information, see the tms320dm644x dmsoc asynchronous external memory interface (emif) user's guide (literature number sprue20 ). (2) e = sysclk5 period in ns for emifa. for example, when running the dsp cpu at 594 mhz, use e = 10.1 ns. peripheral and electrical specifications 138 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-35. switching characteristics over recommended operating conditions for asynchronous memory cycles for emifa module (see figure 6-21 and figure 6-22 ) (continued) a-513, -594 no. parameter unit min max 26 t su(emdv-emwel) output setup time, em_d[15:0] valid to em_we low (ws + 1) * e - 2.2 (ws + 1) * e + 1.4 ns 27 t h(emweh-emdiv) output hold time, em_we high to em_d[15:0] invalid (wh + 1) * e - 2.2 (wh + 1) * e + 1.4 ns figure 6-21. asynchronous memory read timing for emif submit documentation feedback peripheral and electrical specifications 139 setup strobe hold em_cs[5:2] em_ba[1:0] 13 12 em_a[21:0] em_oe em_d[15:0] em_we 10 5 9 7 4 8 6 3 1 em_r/w
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-22. asynchronous memory write timing for emif figure 6-23. em_wait read timing requirements 140 peripheral and electrical specifications submit documentation feedback setup strobe hold em_cs[5:2] em_ba[1:0] em_a[21:0] em_we em_d[15:0] em_oe em_r/w 15 1 16 18 20 22 24 17 19 21 23 26 27 em_cs[5:2] 11 asserted deasserted 2 2 em_ba[1:0] em_a[21:0]em_d[15:0] em_oe em_wait setup strobe extended due to em_wait strobe hold 14
6.10.2 ddr2 memory controller tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-24. em_wait write timing requirements the ddr2 memory controller is a dedicated interface to ddr2 sdram. it supports jesd79d-2a standard compliant ddr2 sdram devices and can interface to either 16-bit or 32-bit ddr2 sdram devices. for details on the ddr2 memory controller, see section 2.8.3 , document support for the link to the tms320dm644x dmsoc peripherals overview reference guide (literature number sprue19) for the tms320dm644x dmsoc ddr2 memory controller user's guide (literature number sprue22). ddr2 sdram plays a key role in a davinci-based system. such a system is expected to require a significant amount of high-speed external memory for: buffering of input image data from sensors or video sources intermediate buffering for processing/resizing of image data in the vpfe numerous osd display buffers intermediate buffering for large raw bayer data image files while performing image processing functions buffering for intermediate data while performing video encode and decode functions storage of executable code for both the arm and dsp a memory map of the ddr2 memory controller registers is shown in table 6-36 . table 6-36. ddr2 memory controller registers hex address range acronym register name 0x01c4 004c ddrvtper ddr2 vtp enable register 0x01c4 2030 ddrvtpr ddr2 vtp register 0x2000 0000 - 0x2000 0003 - reserved 0x2000 0004 sdrstat sdram status register 0x2000 0008 sdbcr sdram bank configuration register 0x2000 000c sdrcr sdram refresh control register 0x2000 0010 sdtimr sdram timing register 0x2000 0014 sdtimr2 sdram timing register 2 0x2000 0020 pbbpr peripheral bus burst priority register 0x2000 0024 - 0x2000 00bf - reserved 0x2000 00c0 irr interrupt raw register 0x2000 00c4 imr interrupt masked register 0x2000 00c8 imsr interrupt mask set register submit documentation feedback peripheral and electrical specifications 141 em_cs[5:2] 25 asserted deasserted 2 2 em_ba[1:0] em_a[21:0]em_d[15:0] em_we em_wait setup strobe extended due to em_wait strobe hold 28
6.10.2.1 ddr2 memory controller electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-36. ddr2 memory controller registers (continued) hex address range acronym register name 0x2000 00cc imcr interrupt mask clear register 0x2000 00d0 - 0x2000 00e3 - reserved 0x2000 00e4 ddrphycr ddr phy control register 0x2000 00e8 - 0x2000 00ef - reserved 0x2000 00f0 vtpiocr vtp io control register 0x2000 00f4 - 0x2000 7fff - reserved the implementing ddr2 pcb layout on the dm644x dmsoc application report (literature number spraac5) specifies a complete ddr2 interface solution for the dm6446 as well as a list of compatible ddr2 devices. ti has performed the simulation and system characterization to ensure all ddr2 interface timings in this solution are met. ti only supports board designs that follow the guidelines outlined in the implementing ddr2 pcb layout on the dm644x dmsoc application report (literature number spraac5 ). table 6-37. switching characteristics over recommended operating conditions for ddr2 memory controller (1) (2) (see figure 6-25 ) a-513, -594 no. parameter unit min max 1 t c(ddr_clk0) cycle time, ddr_clk0 6 8 ns (1) ddr_clk0 cycle time = 2 x pll2 - sysclk2 cycle time. (2) the pll2 controller must be programmed such that the resulting ddr_clk0 clock frequency is within the specified range. figure 6-25. ddr2 memory controller clock timing 142 peripheral and electrical specifications submit documentation feedback ddr_clk0 1
6.11 ata/cf 6.11.1 ata/cf peripheral register description(s) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the ata/cf peripheral supports the following features: pio, multiword dma, and ultra ata 33/66 up to mode 4 timings on pio mode up to mode 2 timings on multiword dma up to mode 4 timings on ultra ata programmable timing parameters supports trueide mode for compact flash in addition, the host ide controller supports multiword dma transfers between external ide/atapi devices and a system memory bus interface. the ata registers are shown in table 6-38 . table 6-38. ata register memory map hex address range acronym register name ata bus master interface dma engine registers 0x01c6 6000 bmicp primary ide channel dma control register 0x01c6 6002 bmisp primary ide channel dma status register 0x01c6 6004 bmidtp primary ide channel dma descriptor table pointer register 0x01c6 6008 - 0x01c6 600a - reserved 0x01c6 600c - ata configuration registers 0x01c6 6040 idetimp primary ide channel timing register 0x01c6 6042 - 0x01c6 6044 - reserved 0x01c6 6045 - 0x01c6 6047 idestat ide controller status register 0x01c6 6048 udmactl ultra-dma control register 0x01c6 604a - reserved 0x01c6 6050 miscctl miscellaneous control register 0x01c6 6054 regstb task file register strobe timing register 0x01c6 6058 regrcvr task file register recovery timing register 0x01c6 605c datstb data register access pio strobe timing register 0x01c6 6060 datrcvr data register access pio recovery timing register 0x01c6 6064 dmastb multiword dma strobe timing register 0x01c6 6068 dmarcvr multiword dma recovery timing register 0x01c6 606c udmastb ultra-dma strobe timing register 0x01c6 6070 udmatrp ultra-dma ready-to-pause timing register 0x01c6 6074 udmatenv ultra-dma timing envelope register 0x01c6 6078 iordytmp primary io ready timer configuration register 0x01c6 607c - 0x01c6 67ff - reserved submit documentation feedback peripheral and electrical specifications 143
6.11.2 ata/cf electrical data/timing 6.11.2.1 ata/cf pio data transfer ac timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com all ata/cf ac timing data described in section 6.11.2.1 ? section 6.11.2.3 is provided at the dm6446 device pins. for more details, see section 6.1 , parameter information. the ac timing specifications described in section 6.11.2.1 ? section 6.11.2.3 assume correct configuration of the ata/cf memory-mapped control registers for the selected ata/cf frequency of operation. table 6-39. timings for ata/cf module ? pio data transfer (1) (2) (see figure 6-26 ) a-513, -594 no. unit mode min max 1 t 0 cycle time 0-4 (3) (datstb + datrcvr + 2)p -0.5 ns 2 t 1 address valid to diow/ dior setup 0-4 (3) 12p - 1.6 ns 3 t 2 diow/ dior pulse duration low 0-4 (3) (datstb + 1)p - 1 ns 0-2 ? ns 4 t 2i diow/ dior recovery time, pulse duration high 3-4 (3) (datrcvr + 1)p - 1 ns diow data setup time, dd[15:0] valid before 5 t 3 0-4 (3) (datstb + 1)p ns diow rising edge diow data hold time, dd[15:0] valid after diow 6 t 4 0-4 (3) (hwnhld + 1)p + 1 ns rising edge 0 50 ns dior data setup time, dd[15:0] valid before dior 7 t 5 1 35 ns rising edge 2-4 (3) 20 ns dior data hold time, dd[15:0] valid after dior 8 t 6 0-4 (3) 5 ns rising edge output data 3-state, dd[15:0] 3-state after dior 9 t 6z 0-4 (3) 30 ns rising edge 10 t 9 diow/ dior to address valid hold 0-4 (3) (hwnhld + 1)p - 2.1 ns read data setup time, dd[15:0] valid before 11 t rd 0-4 (3) 0 ns iordy active 12 t a iordy setup 0-4 (3) (4) 35 ns 13 t b iordy pulse width 0-4 (3) 1250 ns 14 t c iordy assertion to release 0-4 (3) 5 ns (1) p = sysclk5 period, in ns, for ata. for example, when running the dsp cpu at 594 mhz, use p = 10.1 ns. (2) datstb equals the value programmed in the datstbxp bit field in the datstb register. datrcvr equals the value programmed in the datrcvrxp bit field in the datrcvr register. hwnhld equals the value programmed in the hwnhldxp bit field in the miscctl register. for more detailed information, see the tms320dm644x dmsoc ata controller user's guide (literature number sprue21 ). (3) the sustained throughput for pio modes 3 and 4 is limited to the throughput equivalent of pio mode 2. for more detailed information, see the tms320dm644x dmsoc ata controller user's guide (literature number sprue21 ). (4) the t a parameter must be met only when the iordy timer is enabled to allow a device to insert wait states during a transaction. in order to meet the t a parameter, a minimum frequency for sysclk5 is specified for each pio as follows: pio mode 0, min frequency = 15 mhz pio mode 1, min frequency = 22 mhz pio mode 2, min frequency = 31 mhz pio mode 3, min frequency = 45 mhz pio mode 4, min frequency = 57 mhz peripheral and electrical specifications 144 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-26. ata/cf pio data transfer timing submit documentation feedback peripheral and electrical specifications 145 da[2:0], ata_cs0 , ata_cs1 t 4 t 0 iordy (a) diow /dior dd[15:0](out) dd[15:0] (in) iordy (b) iordy (c) t 1 t 2 t 9 t 2i t 3 t 6 t 5 t 6z t rd t c t a t c t b a. iordy is not negated for transfer (no wait generated) b. iordy is negative but is re-asserted before t a (no wait is generated) c. iordy is negative before t a and remains asserted until t b ; data is driven valid at t rd (wait is generated)
6.11.2.2 ata/cf multiword dma timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-40. timings for ata/cf module ? multiword dma ac timing (1) (2) (see figure 6-27 ) a-513, -594 no. unit mode min max 1 t 0 cycle time 0-2 (dmastb + dmarcvr + 2)p - 0.5 ns 2 t d diow/ dior active low pulse duration 0-2 (dmastb + 1)p - 1 ns 0 150 ns dior data access, dior falling edge to dd[15:0] 3 t e 1 60 ns valid 2 50 ns dior data hold time, dd[15:0] valid after dior 4 t f 0-2 5 ns rising edge diow/ dior data setup time, dd[15:0] ( out) valid 0-2 (dmastb)p ns before diow/ dior rising edge 0 100 ns 5 t g diow/ dior data setup time, dd[15:0] ( in) valid 1 30 ns before diow/ dior rising edge 2 20 ns diow data hold time, dd[15:0] valid after diow 6 t h 0-2 (hwnhld + 1)p + 1 ns rising edge 7 t i dmack to diow/ dior setup 0-2 (dmarcvr + 1)p - 1.7 ns 8 t j diow/ dior to dmack hold 0-2 5p - 5.9 ns 9 t kr dior negated pulse width 0-2 (dmarcvr + 1)p - 1 ns 10 t kw diow negated pulse width 0-2 (dmarcvr + 1)p - 1 ns 0 120 ns 11 t lr dior to dmarq delay 1 45 ns 2 35 ns 0-1 40 ns 12 t lw diow to dmarq delay 2 35 ns 13 t m ata_csx valid to diow/ dior setup 0-2 (datrcvr)p - 1.7 ns 14 t n ata_csx valid after diow/ dior rising edge hold 0-2 5p - 1.7 ns 0 20 ns 15 t z dmack to read data (dd[15:0]) released 1-2 25 ns (1) p = sysclk5 period, in ns, for ata. for example, when running the dsp cpu at 594 mhz, use p = 10.1 ns. (2) dmastb equals the value programmed in the dmastbxp bit field in the dmastb register. dmarcvr equals the value programmed in the dmarcvrxp bit field in the dmarcvr register. hwnhld equals the value programmed in the hwnhldxp bit field in the miscctl register. for more detailed information, see the tms320dm644x dmsoc ata controller user's guide (literature number sprue21 ). peripheral and electrical specifications 146 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-27. ata/cf multiword dma timing submit documentation feedback peripheral and electrical specifications 147 da[2:0], ata_cs0 , ata_cs1 t m t 0 t n t l t j t z t k t h t f t d t i t g t e t g dmarq dmack diow /dior dd[15:0](out) dd[15:0] (in)
6.11.2.3 ata/cf ultra dma timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-41. timings for ata/cf module ? ultra dma ac timing (1) (2) (see figure 6-28 through figure 6-37 ) a-513, -594 no. unit mode min max 28 f (sysclk5) operating frequency, sysclk5 0-4 25 mhz 0 240 ns 1 160 ns 1 t 2cyctyp typical sustained average two cycle time 2 120 ns 3 90 ns 4 60 ns 2 t cyc cycle time, strobe edge to strobe edge 0-4 (udmastb + 1)p ns two cycle time, rising to rising edge or falling to 3 t 2cyc 0-4 2(udmastb + 1)p ns falling edge 0 15 ns 1 10 ns 4 t ds data setup, data valid before strobe edge 2-3 7 ns 4 5 ns 5 t dh data hold, data valid after strobe edge 0-4 5 ns 0 70 ns 1 48 ns data valid input setup time, data valid before 2 31 ns strobe 6 t dvs 3 20 ns 4 6.7 ns data valid output setup time, data valid before 0-4 (udmastb)p - 3.1 ns strobe data valid input hold time, data valid after 0-4 6.2 ns strobe 7 t dvh data valid output hold time, data valid after 0-4 1p - 2 ns strobe crc word valid setup time at host, crc valid 10 t cvs 0-4 (udmastb)p ns before dmack negation crc word valid hold time at sender, crc valid 11 t cvh 0-4 2p ns after dmack negation time from strobe output released-to-driving 12 t zfs 0-4 0 ns until the first transition of critical timing 0 70 ns 1 48 ns time from data output released-to-driving until 13 t dzfs 2 31 ns the first transition of critical timing 3 20 ns 4 6.7 ns 0 230 ns 1 200 ns 14 t fs first strobe time 2 170 ns 3 130 ns 4 120 ns (1) p = sysclk5 period, in ns, for ata. for example, when running the dsp cpu at 594 mhz, use p = 10.1 ns. (2) udmastb equals the value programmed in the udmstbxp bit field in the udmastb register. udmatrp equals the value programmed in the udmtrpxp bit field in the udmatrp register. tenv equals the value programmed in the udmatnvxp bit field in the udmatenv register. for more detailed information, see the tms320dm644x dmsoc ata controller user's guide (literature number sprue21 ). peripheral and electrical specifications 148 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-41. timings for ata/cf module ? ultra dma ac timing (see figure 6-28 through figure 6-37 ) (continued) a-513, -594 no. unit mode min max 15 t li limited interlock time 0-4 0 150 ns 16 t mli interlock time with minimum 0-4 20 ns 17 t ui unlimited interlock time 0-4 0 ns maximum time allowed for output drivers to 18 t az 0-4 10 ns release 19 t zah minimum delay time required for output 0-4 20 ns minimum delay time for driver to assert or negate 20 t zad 0-4 0 ns (from released) envelope time, dmack to stop and dmack to 21 t env hdmardy during in-burst initiation and from 0-4 (tenv + 1)p - 0.5 (tenv + 1)p + 1.4 ns dmack to stop during data out burst initiation 0 75 ns 22 t rfs ready-to-final-strobe time 1 70 ns 2-4 60 ns ready to pause time, (hdmardy ( dior) to 0-4 (udmatrp + 1)p - 0.8 ns stop ( diow)) 0 160 ns 23 t rp ready to pause time, (ddmardy (iordy) to 1 125 ns dmarq) 2-4 100 ns 24 t iordyz maximum time before releasing iordy 0-4 20 ns 25 t ziordy minimum time before driving iordy 0-4 0 ns setup and hold time for dmack (before 26 t ack 0-4 20 ns assertion or negation) strobe edge to negation of dmarq or 27 t ss assertion of stop (when sender terminates a 0-4 50 ns burst) a. the definitions for the diow:stop, dior:hdmardy, and iordy:dstrobe signal lines are not in effect until dmarq and dmack are asserted. figure 6-28. ata/cf initiating an ultra dma data-in burst timing submit documentation feedback peripheral and electrical specifications 149 dmarq t dvh t env dstrobe (iordy) (a) st op (diow ) (a) hdmardy (dior ) (a) dd[15:0] da[2:0], ata_cs0 , ata_cs1 t fs t env t zfs t dzfs t dvs t zad t zad t fs t ui t ack t ack t ziordy t az t ack dmack
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com a. while dstrobe (iordy) timing is t cyc at the device, it may be different at the host due to propagation delay differences on the cable. figure 6-29. ata/cf sustained ultra dma data-in data transfer timing figure 6-30. ata/cf host pausing an ultra dma data-in burst timing figure 6-31. ata/cf device terminating an ultra dma data-in burst timing peripheral and electrical specifications 150 submit documentation feedback t 2cyc t dh t ds t dh t ds t dh dstrobe (iordy) dd[15:0] t cyc (a) t cyc (a) dmarq t rp dstrobe (iordy) st op (diow ) hdmardy (dior ) dd[15:0] dmack t rfs dmarq t mli dstrobe (iordy) st op (diow ) hdmardy (dior ) dd[15:0] dmack da[2:0], ata_cs0 , ata_cs 1 t ack t ack t iordyz t cvh t cvs crc t ack t zah t az t li t li t li t ss
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-32. ata/cf host terminating an ultra dma data-in burst timing a. the definitions for the diow:stop, iordy:ddmardy, and dior:hstrobe signal lines are not in effect until dmarq and dmack are asserted. figure 6-33. ata/cf initiating an ultra dma data-out burst timing submit documentation feedback peripheral and electrical specifications 151 dmarq dstrobe (iordy) st op (diow ) hdmardy (dior ) dd[15:0] dmack da[2:0], ata_cs0 , ata_cs1 t cvh t li crc t iordyz t az t mli t zah t ack t ack t li t rp t rfs t ack t cvs t mli dmarq hstrobe (dior ) (a) st op (diow ) (a) ddmardy (iordy) (a) dd[15:0] dmack da[2:0], ata_cs0 , ata_cs1 t ui t ziordy t env t ack t ack t ack t dzfs t li t ui t dvs t dvh
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com a. while hstrobe ( dior) timing is t cyc at the host, it may be different at the device due to propagation delay differences on the cable. figure 6-34. ata/cf sustained ultra dma data-out transfer timing figure 6-35. ata/cf device pausing an ultra dma data-out burst timing 152 peripheral and electrical specifications submit documentation feedback hstrobe (dior ) dd[15:0] (out) t 2cyc t cyc (a) t cyc (a) t 2cyc t dvh t dvs t dvh t dvs t dvh dmarq dmack t rfs t rp st op (diow ) ddmardy (iordy ) hstrobe (dior ) dd[15:0]
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-36. ata/cf host terminating an ultra dma data-out burst timing figure 6-37. ata/cf device terminating an ultra dma data-out burst timing submit documentation feedback peripheral and electrical specifications 153 dmarq dmack t li st op (diow ) ddmardy (iordy) hstrobe (dior ) dd[15:0] t li t li t ss t mli t ack t iordyz t ack t cvs t cvh crc da[2:0], ata_cs0 , a ta_cs1 t ack dmarq dmack st op (diow ) ddmardy (iordy) hstrobe (dior ) dd[15:0] t mli da[2:0], ata_cs0, ata_cs1 t li t rp t rfs t li t mli t cvs t iordyz t ack t ack t ack t cvh crc
6.11.2.4 ata/cf hddir timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-38 through figure 6-41 show the behavior of hddir for the different types of transfers. table 6-42. timing requirements for hddir (1) a-513, -594 no. unit min max 1 t c cycle time, ata_cs[1:0] to hddir low e - 3.1 2.1 ns (1) e = ata clock cycle figure 6-38. ata/cf hddir taskfile write/single pio write timing figure 6-39. ata/cf hddir pio postwrite start timing 154 peripheral and electrical specifications submit documentation feedback da[2:0], ata_cs0 , ata_cs1 t c (a) hddir diow dd[15:0] (out) t c (a) a. t c one cycle da[2:0], ata_cs0 , ata_cs1 hddir diow dd[15:0] (out) t c (a) t c (a) a. t c one cycle
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-40. ata/cf hddir multiword dma write transfer timing figure 6-41. ata/cf hddir ultra dma write transfer timing submit documentation feedback peripheral and electrical specifications 155 da[2:0], ata_cs0, ata_cs1 t c (a) hddir diow dd[15:0] (out) dmack t c (a) a. t c one cycle da[2:0], ata_cs0 , ata_cs1 hddir diow dd[15:0] (out) dmack crc t c (a) a. t c one cycle
6.12 mmc/sd/sdio 6.12.1 mmc/sd/sdio peripheral description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the dm6446 mmc/sd/sdio controller has following features: multimediacard (mmc). secure digital (sd) memory card with secure data i/o (sdio). mmc/sd/sdio protocol support. programmable clock frequency. 256 bit read/write fifo to lower system overhead. slave dma transfer capability. sdio is only supported for wlan operation through ti third parties. for more information about third-party wlan products, go to http://www.ti.com.davinciwlan . the mmc/sd/sdio register memory mapping is shown in table 6-43 . table 6-43. mmc/sd/sdio register descriptions hex address range acronym register name 0x01e1 0000 mmcctl mmc control register 0x01e1 0004 mmcclk mmc memory clock control register 0x01e1 0008 mmcst0 mmc status register 0 0x01e1 000c mmcst1 mmc status register 1 0x01e1 0010 mmcim mmc interrupt mask register 0x01e1 0014 mmctor mmc response time-out register 0x01e1 0018 mmctod mmc data read time-out register 0x01e1 001c mmcblen mmc block length register 0x01e1 0020 mmcnblk mmc number of blocks register 0x01e1 0024 mmcnblc mmc number of blocks counter register 0x01e1 0028 mmcdrr mmc data receive register 0x01e1 002c mmcdxr mmc data transmit register 0x01e1 0030 mmccmd mmc command register 0x01e1 0034 mmcarghl mmc argument register 0x01e1 0038 mmcrsp01 mmc response register 0 and 1 0x01e1 003c mmcrsp23 mmc response register 2 and 3 0x01e1 0040 mmcrsp45 mmc response register 4 and 5 0x01e1 0044 mmcrsp67 mmc response register 6 and 7 0x01e1 0048 mmcdrsp mmc data response register 0x01e1 004c - 0x01e1 004f - reserved 0x01e1 0050 mmccidx mmc command index register 0x01e1 0054 - 0x01e1 0063 - reserved 0x01e1 0064 - 0x01e1 006c sdio 0x01e1 0070 - reserved 0x01e1 0074 mmcfifoctl mmc fifo control register 0x01e1 0078 - 0x01e1 ffff - reserved peripheral and electrical specifications 156 submit documentation feedback
6.12.2 mmc/sd/sdio electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-44. timing requirements for mmc/sd/sdio module (see figure 6-43 and figure 6-45 ) a-513, -594 no. unit standard mode min max 1 t su(cmdv-clkh) setup time, sd_cmd valid before sd_clk high 5 ns 2 t h(clkh-cmdv) hold time, sd_cmd valid after sd_clk high 5 ns 3 t su(datv-clkh) setup time, sd_datx valid before sd_clk high 5 ns 4 t h(clkh-datv) hold time, sd_datx valid after sd_clk high 5 ns table 6-45. switching characteristics over recommended operating conditions for mmc/sd/sdio module (1) (see figure 6-42 through figure 6-45 ) a-513, -594 no. parameter unit standard mode min max 7 f (clk) operating frequency, sd_clk 0 25 mhz 8 f (clk_id) identification mode frequency, sd_clk 0 400 khz 9 t w(clkl) pulse width, sd_clk low 10 ns 10 t w(clkh) pulse width, sd_clk high 10 ns 11 t r(clk) rise time, sd_clk 10 ns 12 t f(clk) fall time, sd_clk 10 ns 13 t d(clkll-cmd) delay time, sd_clk low to sd_cmd transition -7.5 13 ns 14 t dis(clkl-dat) disable time, sd_clk low to sd_datx transition -7.5 13 ns (1) p = period of sd_clk (sysclk5), in nanoseconds (ns). figure 6-42. mmc/sd/sdio host command timing figure 6-43. mmc/sd/sdio card response timing submit documentation feedback peripheral and electrical specifications 157 start xmit valid valid valid end sd_clk sd_cmd 13 7 9 10 13 13 13 start xmit valid valid valid end sd_clk sd_cmd 10 9 7 1 2
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-44. mmc/sd/sdio host write timing figure 6-45. mmc/sd/sdio host read and card crc status timing 158 peripheral and electrical specifications submit documentation feedback start d0 d1 dx end sd_clk sd_datx 7 14 14 9 10 14 14 start d0 d1 dx end 7 sd_clk sd_datx 9 10 4 3 3 4
6.13 video processing sub-system (vpss) overview tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the dm6446 video processing sub-system (vpss) provides a video processing front end (vpfe) input interface for external imaging peripherals (i.e., image sensors, video decoders, etc.) and a video processing back end (vpbe) output interface for display devices, such as analog sdtv displays, digital lcd panels, hdtv video encoders, etc. note: the vpss module is supported with linux application peripheral interfaces (apis) commonly used by video application developers. video for linux 2 or v4l2 uses apis commonly used for video capture. the typical use cases of the vpss video front-end (vpfe) have been ported to this linux api structure. v4l2 supports standard video interfaces such as: bt.656 and y/c mode. other modules within the vpss vpfe for example, the preview engine, h3a, and histogram are not currently supported within the software apis. the vpss back-end (vpbe) uses fbdev/directfb as the apis. certain functionalities within the vpbe have not been implemented in the fbdev/directfb apis. for modes/functions not implemented in software, it is the user's responsibility to modify the software drivers/apis. the vpss register memory mapping is shown in table 6-46 . table 6-46. vpss register descriptions hex address register acronym description range 0x01c7 3400 pid peripheral revision and class information 0x01c7 3404 pcr vpss control register 0x01c7 3408 - reserved 0x01c7 3508 sdr_reg_exp sdram non real-time read request expand 0x01c7 350c - - reserved 0x01c7 3fff to ensure ntsc- and pal-compliant output video, the stability of the input clock source is very important. ti recommends a 27-mhz, 50-ppm crystal. ceramic oscillators are not recommended. the ntsc/pal color sub-carrier frequency is derived from the 27-mhz clock. therefore, if the 27-mhz clock drifts, then the color sub-carrier frequency will drift as well. assuming no 27-mhz frequency drift, the color sub-carrier frequency is generated as follows: to ensure the color sub-carrier frequency will not drift out of spec, the user must follow the crystal requirements discussed in section 6.5.1 , clock input option 1 ? crystal or ceramic resonator. alternatively, if the vpbe input clock is sourced from the vpbeclk or vpfe clock inputs, these clocks must have a frequency stability of 50 ppm to ensure the ntsc and pal compliant output video. submit documentation feedback peripheral and electrical specifications 159 mhz 5795454545 .3 264 35 mhz 27 = ? ? ? ? = - ntsc sc f mhz 4332628318 .4 1017 167 mhz 27 = ? ? ? ? = - pal sc f
6.13.1 video processing front-end (vpfe) 6.13.1.1 ccd controller (ccdc) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the video processing front-end (vpfe) consists of the ccd controller (ccdc), preview engine, resizer, hardware 3a (h3a) statistic generator, and histogram blocks. together, these modules provide dm6446 with a powerful and flexible front-end interface. these modules are briefly described below: the ccdc provides an interface to image sensors and digital video sources. the preview engine is a parameterized hardwired image processing block which is used for converting raw color data from a bayer pattern to yuv 4:2:2. the resizer module re-sizes the input image data to the desired display or video encoding resolution the h3a module provides control loops for auto focus (af), auto white balance (awb) and auto exposure (ae). the histogram module bins input color pixels, depending on the amplitude, and provides statistics required to implement various 3a (ae/af/awb) algorithms and tune the final image/video output. the vpfe register memory mapping is shown in table 6-47 . table 6-47. vpfe register address range descriptions hex address range acronym register name 0x01c7 0400 ? 0x01c7 07ff ccdc vpfe ? ccd controller 0x01c7 0800 ? 0x01c7 0bff prev vpfe ? preview engine/image signal processor 0x01c7 0c00 ? 0x01c7 09ff resz vpfe ? resizer 0x01c7 1000 ? 0x01c7 13ff hist vpfe ? histogram 0x01c7 1400 ? 0x01c7 17ff h3a vpfe ? hardware 3a (auto-focus/wb/exposure) 0x01c7 3400 ? 0x01c7 3fff vpss vpss shared buffer logic registers the ccdc receives raw image/video data from sensors (cmos or ccd) or yuv video data in numerous formats from video decoder devices. the following features are supported by the ccdc module. conventional bayer pattern formats. generates hd/vd timing signals and field id to an external timing generator or can synchronize to an external timing generator. interface to progressive and interlaced sensors. rec656/ccir-656 standard (ycbcr 4:2:2 format, either 8- or 16-bit). ycbcr 4:2:2 format, either 8- or 16-bit with discrete h and vsync signals. up to 16-bit input. optical black clamping signal generation. shutter signal control. digital clamping and black level compensation. 10-bit to 8-bit a-law compression. low-pass filter prior to writing to sdram. if this filter is enabled, 2 pixels each in the left and right edges of each line are cropped from the output. output range from 16-bits to 8-bits wide (8-bits wide allows for 50% saving in storage area). downsampling via programmable culling patterns. control output to the ddr2 via an external write enable signal. up to 16k pixels (image size) in both the horizontal and vertical direction. the ccdc register memory mapping is shown in table 6-48 . peripheral and electrical specifications 160 submit documentation feedback
6.13.1.2 preview engine tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-48. ccdc register descriptions hex address range register acronym description 0x01c7 0400 pid peripheral revision and class information 0x01c7 0404 pcr peripheral control register 0x01c7 0408 syn_mode sync and mode set register 0x01c7 040c hd_vd_wid hd and vd signal width 0x01c7 0410 pix_lines number of pixels in a horizontal line and number of lines in a frame 0x01c7 0414 horz_info horizontal pixel information 0x01c7 0418 vert_start vertical line - settings for the starting pixel 0x01c7 041c vert_lines number of vertical lines 0x01c7 0420 culling culling information in horizontal and vertical directions 0x01c7 0424 hsize_off horizontal size 0x01c7 0428 sdofst sdram/ddram line offset 0x01c7 042c sdr_addr sdram address 0x01c7 0430 clamp optical black clamping settings 0x01c7 0434 dcsub dc clamp 0x01c7 0438 colptn ccd color pattern 0x01c7 043c blkcmp black compensation 0x01c7 0440 - reserved 0x01c7 0444 - reserved 0x01c7 0448 vdint vd interrupt timing 0x01c7 044c alaw a-law setting 0x01c7 0450 rec656if rec656 interface 0x01c7 0454 ccdcfg ccd configuration 0x01c7 0458 fmtcfg data reformatter/video port configuration 0x01c7 045c fmt_horz data reformatter/video input interface horizontal information 0x01c7 0460 fmt_vert data reformatter/video input interface vertical information 0x01c7 0464 fmt_addr0 address pointer 0 setup 0x01c7 0468 fmt_addr1 address pointer 1 setup 0x01c7 046c fmt_addr2 address pointer 2 setup 0x01c7 0470 fmt_addr3 address pointer 3 setup 0x01c7 0474 fmt_addr4 address pointer 4 setup 0x01c7 0478 fmt_addr5 address pointer 5 setup 0x01c7 047c fmt_addr6 address pointer 6 setup 0x01c7 0480 fmt_addr7 address pointer 7 setup 0x01c7 0484 prgeven_0 program entries 0-7 for even line 0x01c7 0488 rrgeven_1 program entries 8-15 for even line 0x01c7 048c prggodd_0 program entries 0-7 for odd line 0x01c7 0490 prggodd_1 program entries 8-15 for odd line 0x01c7 0494 vp_out video port output settings the preview engine transforms raw unprocessed image/video data from a sensor (cmos or ccd) into ycbcr 4:2:2 data. the output of the preview engine is used for both video compression and external display devices such as a ntsc/pal analog encoder or a digital lcd. the following features are supported by the preview engine. accepts conventional bayer pattern formats. input image/video data from either the ccd/cmos controller or the ddr2 memory. output width up to 1280 pixels wide. submit documentation feedback peripheral and electrical specifications 161
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com automatic/mandatory cropping of pixels/lines when edge processing is performed. if all the corresponding modules are enabled, a total of 14 pixels per line (7 left most and 7 right most) and 8 lines (4 top most and 4 bottom most) will not be output. simple horizontal averaging (by factors of 2, 4, or 8) to handle input widths that are greater than 1280 (plus the cropped number) pixels wide. dark frame capture to ddr2. dark frame subtraction for every input raw data frame, fetched from ddr2, pixel-by-pixel to improve video quality. lens shading compensation. each input pixel is multiplied with a corresponding 8-bit gain value and the result is right shifted by a programmable parameter (0-7 bits). a-law decompression to transform non-linear 8-bit data to 10-bit linear data. this feature allows data in ddr2 to be 8-bits, which saves 50% of the area if the input to the preview engine is from the ddr2. horizontal median filter for reducing temperature induced noise in pixels. programmable noise filter that operates on a 3x3 grid of the same color (effectively, this is a five line storage requirement). digital gain and white balance (color separate gain for white balance). programmable cfa interpolation that operates on a 5x5 grid. conventional bayer pattern rgb and complementary color sensors. support for an image that is downsampled by 2x in the horizontal direction (with and without phase correction). in this case, the image is 2/3 populated instead of the conventional 1/3 colors. support for an image that is downsampled by 2x in both the horizontal and vertical direction. in this case, the image is fully populated instead of the conventional 1/3 colors. programmable rgb-to-rgb blending matrix (9 coefficients for the 3x3 matrix). fully programmable gamma correction (1024 entries for each color held in an on-chip ram). programmable color conversion (rgb to yuv) coefficients (9 coefficients for the 3x3 matrix). luminance enhancement (non-linear) and chrominance suppression & offset. the preview engine register memory mapping is shown in table 6-49 . table 6-49. preview engine register descriptions hex address range register acronym description 0x01c7 0800 pid peripheral revision and class information 0x01c7 0804 pcr peripheral control register 0x01c7 0808 horz_info horizontal information/setup 0x01c7 080c vert_info vertical information/setup 0x01c7 0810 rsdr_addr read address from sdram 0x01c7 0814 radr_offset line offset for the read data 0x01c7 0818 dsdr_addr dark frame address from sdram 0x01c7 081c drkf_offset line offset for the dark frame data 0x01c7 0820 wsdr_addr write address to the sdram 0x01c7 0824 wadd_offset line offset for the write data 0x01c7 0828 ave input formatter/averager 0x01c7 082c hmed horizontal median filter 0x01c7 0830 nf noise filter 0x01c7 0834 wb_dgain white balance digital gain 0x01c7 0838 wbgain white balance coefficients 0x01c7 083c wbsel white balance coefficients selection 0x01c7 0840 cfa cfa register 0x01c7 0844 blkadjoff black adjustment offset peripheral and electrical specifications 162 submit documentation feedback
6.13.1.3 resizer tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-49. preview engine register descriptions (continued) hex address range register acronym description 0x01c7 0848 rgb_mat1 rgb2rgb blending matrix coefficients 0x01c7 084c rgb_mat2 rgb2rgb blending matrix coefficients 0x01c7 0850 rgb_mat3 rgb2rgb blending matrix coefficients 0x01c7 0854 rgb_mat4 rgb2rgb blending matrix coefficients 0x01c7 0858 rgb_mat5 rgb2rgb blending matrix coefficients 0x01c7 085c rgb_off1 rgb2rgb blending matrix offsets 0x01c7 0860 rgb_off2 rgb2rgb blending matrix offsets 0x01c7 0864 csc0 color space conversion coefficients 0x01c7 0868 csc1 color space conversion coefficients 0x01c7 086c csc2 color space conversion coefficients 0x01c7 0870 csc_offset color space conversion offsets 0x01c7 0874 cnt_brt contrast and brightness settings 0x01c7 0878 csup chrominance suppression settings 0x01c7 087c setup_yc maximum/minimum y and c settings 0x01c7 0880 set_tbl_address setup table addresses 0x01c7 0884 set_tbl_data setup table data the resizer module can accept input image/video data from either the preview engine or ddr2. the output of the resizer module is sent to ddr2. the following features are supported by the resizer module. an output width up to 1280 horizontal pixels. input from external ddr2. up to 4x upsampling (digital zoom). bi-cubic interpolation (4-tap horizontal, 4-tap vertical) can be implemented with the programmable filter coefficients. 8 phases of filter coefficients. optional bi-linear interpolation for the chrominance components. up to 1/4x downsampling 4-tap horizontal and 4-tap vertical filter coefficients (with 8-phases) for 1x to 1/2x downsampling 1/2x to 1/4x downsampling, for 7-tap mode with 4-phases. resizing either yuv 4:2:2 packed data (16-bits) or color separate data (8-bit data within ddr) that is contiguous. separate/independent resizing factor for the horizontal and vertical directions. upsampling and downsampling ratios that are available are: 256/n, with n ranging from 64 to 1024. programmable luminance sharpening after the horizontal resizing and before the vertical resizing step. the resizer register memory mapping is shown in table 6-50 . table 6-50. resizer register descriptions hex address range register acronym description 0x01c7 0c00 pid peripheral revision and class information 0x01c7 0c04 pcr peripheral control register 0x01c7 0c08 rsz_cnt resizer control bits 0x01c7 0c0c out_size output width and height after resizing 0x01c7 0c10 in_start input starting information 0x01c7 0c14 in_size input width and height before resizing 0x01c7 0c18 sdr_inadd input sdram address submit documentation feedback peripheral and electrical specifications 163
6.13.1.4 hardware 3a (h3a) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-50. resizer register descriptions (continued) hex address range register acronym description 0x01c7 0c1c sdr_inoff sdram offset for the input line 0x01c7 0c20 sdr_outadd output sdram address 0x01c7 0c24 sdr_outoff sdram offset for the output line 0x01c7 0c28 hfilt10 horizontal filter coefficients 1 and 0 0x01c7 0c2c hfilt32 horizontal filter coefficients 3 and 2 0x01c7 0c30 hfilt54 horizontal filter coefficients 5 and 4 0x01c7 0c34 hfilt76 horizontal filter coefficients 7 and 6 0x01c7 0c38 hfilt98 horizontal filter coefficients 9 and 8 0x01c7 0c3c hfilt1110 horizontal filter coefficients 11 and 10 0x01c7 0c40 hfilt1312 horizontal filter coefficients 13 and 12 0x01c7 0c44 hfilt1514 horizontal filter coefficients 15 and 14 0x01c7 0c48 hfilt1716 horizontal filter coefficients 17 and 16 0x01c7 0c4c hfilt1918 horizontal filter coefficients 19 and 18 0x01c7 0c50 hfilt2120 horizontal filter coefficients 21 and 20 0x01c7 0c54 hfilt2322 horizontal filter coefficients 23 and 22 0x01c7 0c58 hfilt2524 horizontal filter coefficients 25 and 24 0x01c7 0c5c hfilt2726 horizontal filter coefficients 27 and 26 0x01c7 0c60 hfilt2928 horizontal filter coefficients 29 and 28 0x01c7 0c64 hfilt3130 horizontal filter coefficients 31 and 30 0x01c7 0c68 vfilt10 vertical filter coefficients 1 and 0 0x01c7 0c6c vfilt32 vertical filter coefficients 3 and 2 0x01c7 0c70 vfilt54 vertical filter coefficients 5 and 4 0x01c7 0c74 vfilt76 vertical filter coefficients 7 and 6 0x01c7 0c78 vfilt98 vertical filter coefficients 9 and 8 0x01c7 0c7c vfilt1110 vertical filter coefficients 11 and 10 0x01c7 0c80 vfilt1312 vertical filter coefficients 13 and 12 0x01c7 0c84 vfilt1514 vertical filter coefficients 15 and 14 0x01c7 0c88 vfilt1716 vertical filter coefficients 17 and 16 0x01c7 0c8c vfilt1918 vertical filter coefficients 19 and 18 0x01c7 0c90 vfilt2120 vertical filter coefficients 21 and 20 0x01c7 0c94 vfilt2322 vertical filter coefficients 23 and 22 0x01c7 0c98 vfilt2524 vertical filter coefficients 25 and 24 0x01c7 0c9c vfilt2726 vertical filter coefficients 27 and 26 0x01c7 0ca0 vfilt2928 vertical filter coefficients 29 and 28 0x01c7 0ca4 vfilt3130 vertical filter coefficients 31 and 30 0x01c7 0ca8 yenh luminance enhancer the hardware 3a (h3a) module provides control loops for auto focus, auto white balance and auto exposure. there are 2 main components of the h3a module: auto focus (af) engine auto exposure (ae) & auto white balance (awb) engine the af engine extracts and filters the red, green, and blue data from the input image/video data and provides either the accumulation or peaks of the data in a specified region. the specified region is a two dimensional block of data and is referred to as a ?paxel? for the case of af. peripheral and electrical specifications 164 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the ae/awb engine accumulates the values and checks for saturated values in a sub sampling of the video data. in the case of the ae/awb, the two-dimensional block of data is referred to as a ?window?. the number, dimensions, and starting position of the af paxels and the ae/awb windows are separately programmable. the h3a register memory mapping is shown in table 6-51 . table 6-51. h3a register descriptions hex address range register acronym description 0x01c7 1400 pid peripheral revision and class information 0x01c7 1404 pcr peripheral control register 0x01c7 1408 afpax1 setup for the af engine paxel configuration 0x01c7 140c afpax2 setup for the af engine paxel configuration 0x01c7 1410 afpaxstart start position for af engine paxels 0x01c7 1414 afiirsh start position for iirsh 0x01c7 1418 afbufst sdram/ddram start address for af engine 0x01c7 141c afcoef010 iir filter coefficient data for set 0 0x01c7 1420 afcoef032 iir filter coefficient data for set 0 0x01c7 1424 afcoeff054 iir filter coefficient data for set 0 0x01c7 1428 afcoeff076 iir filter coefficient data for set 0 0x01c7 142c afcoeff098 iir filter coefficient data for set 0 0x01c7 1430 afcoeff0010 iir filter coefficient data for set 0 0x01c7 1434 afcoef110 iir filter coefficient data for set 1 0x01c7 1438 afcoef132 iir filter coefficient data for set 1 0x01c7 143c afcoeff154 iir filter coefficient data for set 1 0x01c7 1440 afcoeff176 iir filter coefficient data for set 1 0x01c7 1444 afcoeff198 iir filter coefficient data for set 1 0x01c7 1448 afcoeff1010 iir filter coefficient data for set 1 0x01c7 144c aewwin1 configuration for ae/awb windows 0x01c7 1450 aewinstart start position for ae/awb windows 0x01c7 1454 aewinblk start position and height for black line of ae/awb windows 0x01c7 1458 aewsubwin configuration for subsample data in ae/awb window 0x01c7 145c aewbufst sdram/ddram start address for ae/awb engine 6.13.1.4.1 auto focus (af) engine the following features are supported by the auto focus (af) engine. peak mode in a paxel (a paxel is defined as a two dimensional block of pixels). accumulate the maximum focus value of each line in a paxel accumulation/sum mode (instead of peak mode). accumulate focus value in a paxel. up to 36 paxels in the horizontal direction and up to 128 paxels in the vertical direction. programmable width and height for the paxel. all paxels in the frame will be of same size. programmable red, green, and blue position within a 2x2 matrix. separate horizontal start for paxel and filtering. programmable vertical line increments within a paxel. parallel iir filters configured in a dual-biquad configuration with individual coefficients (2 filters with 11 coefficients each). the filters are intended to compute the sharpness/peaks in the frame to focus on. submit documentation feedback peripheral and electrical specifications 165
6.13.1.5 histogram tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com 6.13.1.4.2 auto exposure (ae) and auto white balance (awb) engine the following features are supported by the auto exposure (ae) and auto white balance (awb) engine. accumulate clipped pixels along with all non-saturated pixels. up to 36 horizontal windows. up to 128 vertical windows. programmable width and height for the windows. all windows in the frame will be of same size. separate vertical start coordinate and height for a black row of paxels that is different than the remaining color paxels. programmable horizontal sampling points in a window. programmable vertical sampling points in a window. the histogram module accepts raw image/video data and bins the pixels on a value (and color separate) basis. the value of the pixel itself is not stored, but each bin contains the number of pixels that are within the appropriate set range. the source of the raw data for the histogram is typically a ccd/cmos sensor (via the ccdc module) or optionally from ddr2. the following features are supported by the histogram module. up to four regions/areas. separate horizontal/vertical start and end position for each region. pixels from overlapping regions are accumulated into the highest priority region. the priority is: region0 > region1 > region2 > region3. interface to conventional bayer pattern. each region can accumulate either 3 or 4 colors. 32, 64, 128, or 256 bins per color per region. 32, 64, or 128 bins per color for 2 regions. 32 or 64 bins per color for 3 or 4 regions. automatic clear of histogram ram after an arm read. saturation of the pixel count if the count exceeds the maximum value (each memory location is 20-bit wide). downshift ranging from 0 to 7 bits (maximum bin range 128). the last bin (highest range of values) will accumulate any value that is higher than the lower bound. the histogram register memory mapping is shown in table 6-52 . table 6-52. histogram register descriptions hex address range register acronym description 0x01c7 1000 pid peripheral revision and class information register 0x01c7 1004 pcr peripheral control register 0x01c7 1008 hist_cnt histogram control bits register 0x01c7 100c wb_gain white/channel balance settings register 0x01c7 1010 r0_horz region 0 horizontal information register 0x01c7 1014 r0_vert region 0 vertical information register 0x01c7 1018 r1_horz region 1 horizontal information register 0x01c7 101c r1_vert region 1 vertical information register 0x01c7 1020 r2_horz region 2 horizontal information register 0x01c7 1024 r2_vert region 2 vertical information register 0x01c7 1028 r3_horz region 3 horizontal information register 0x01c7 102c r3_vert region 3 vertical information register 0x01c7 1030 hist_addr histogram address for data to be read register 0x01c7 1034 hist_data histogram data that is read from the memory register peripheral and electrical specifications 166 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-52. histogram register descriptions (continued) hex address range register acronym description 0x01c7 1038 radd read address from ddr2 memory register 0x01c7 103c radd_off read address offset for each line in the ddr2 memory register 0x01c7 1040 h_v_info horizontal/vertical information register (horizontal/vertical number of pixels when data is read from ddr2 memory information register) submit documentation feedback peripheral and electrical specifications 167
6.13.1.6 vpfe electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-53. timing requirements for vpfe pclk master/slave mode (see figure 6-46 ) a-513, -594 no. unit min max 1 t c(pclk) cycle time, pclk 10.204 or 13.33 (1) 160 ns 2 t w(pclkh) pulse duration, pclk high 4.4 ns 3 t w(pclkl) pulse duration, pclk low 4.4 ns 4 t t(pclk) transition time, pclk 3 ns (1) when pclk sources the clock for both the vpfe and vpbe, the minimum cycle time of 13.33 ns (specified in table 6-60 , timing requirements for vpbe clk inputs for vpbe) must be met. when pclk sources the clock for only the vpfe, a minimum cycle time of 10.2 ns may be used. figure 6-46. vpfe pclk timing table 6-54. timing requirements for vpfe (ccd) slave mode (1) (see figure 6-47 ) a-513, -594 no. unit min max 5 t su(ccdv-pclk) setup time, ccd valid before pclk edge 3 ns 6 t h(pclk-ccdv) hold time, ccd valid after pclk edge 2 ns 7 t su(hdv-pclk) setup time, hd valid before pclk edge 3 ns 8 t h(pclk-hdv) hold time, hd valid after pclk edge 2 ns 9 t su(vdv-pclk) setup time, vd valid before pclk edge 3 ns 10 t h(pclk-vdv) hold time, vd valid after pclk edge 2 ns 11 t su(c_wev-pclk) setup time, c_we valid before pclk edge 3 ns 12 t h(pclk-c_wev) hold time, c_we valid after pclk edge 2 ns 13 t su(c_fieldv-pclk) setup time, c_field valid before pclk edge 3 ns 14 t h(pclk-c_fieldv) hold time, c_field valid after pclk edge 2 ns (1) the vpfe may be configured to operate in either positive or negative edge clocking mode. when in positive edge clocking mode the rising edge of pclk is referenced. when in negative edge clocking mode the falling edge of pclk is referenced. peripheral and electrical specifications 168 submit documentation feedback pclk 2 1 3 4 4
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-47. vpfe (ccd) slave mode input data timing table 6-55. timing requirements for vpfe (ccd) master mode (1) (see figure 6-48 ) a-513, -594 no. unit min max 15 t su(ccdv-pclk) setup time, ccd valid before pclk edge 3 ns 16 t h(pclk-ccdv) hold time, ccd valid after pclk edge 2 ns 23 t su(cwev-pclk) setup time, c_we valid before pclk edge 3 ns 24 t h(pclk-cwev) hold time, c_we valid after pclk edge 2 ns (1) the vpfe may be configured to operate in either positive or negative edge clocking mode. when in positive edge clocking mode the rising edge of pclk is referenced. when in negative edge clocking mode the falling edge of pclk is referenced. figure 6-48. vpfe (ccd) master mode input data timing submit documentation feedback peripheral and electrical specifications 169 pclk (positive edge clocking) pclk (negative edge clocking) 7, 9 hd/vd ccd[15:0] 8, 10 1 1, 13 12, 14 5 6 c_we/c_field pclk (positive edge clocking) 15 16 23 24 ccd[15:0] c_we pclk (negative edge clocking)
6.13.2 video processing back-end (vpbe) 6.13.2.1 on-screen display (osd) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-56. switching characteristics over recommended operating conditions for vpfe (ccd) master mode (1) (see figure 6-49 ) a-513, -594 no. parameter unit min max 18 t d(pclk-hdv) delay time, pclk edge to hd valid 0.5 8 ns 20 t d(pclk-vdv) delay time, pclk edge to vd valid 0.5 8 ns 22 t d(pclk-c_fieldv) delay time, pclk edge to c_field valid 0.5 8.3 ns (1) the vpfe may be configured to operate in either positive or negative edge clocking mode. when in positive edge clocking mode the rising edge of pclk is referenced. when in negative edge clocking mode the falling edge of pclk is referenced. figure 6-49. vpfe (ccd) master mode control output data timing the video processing back-end (vpbe) consists of the on-screen display (osd) module, the video encoder (venc) including the digital lcd (dlcd) and analog (i.e., dac) interfaces. the video encoder generates analog video output. the dlcd controller generates digital rgb/ycbcr data output and timing signals. the vpbe register memory mapping is shown in table 6-57 . table 6-57. vpbe register descriptions address register description 0x01c7 2780 pid peripheral revision and class information register 0x01c7 2784 pcr peripheral control register the major function of the osd module is to gather and blend video data and display/bitmap data before feeding it to the video encoder (venc) in ycbcr format. the video and display data is read from an external memory, typically ddr2. the osd is programmed via control and parameter registers. the following are the primary features that are supported by the osd. simultaneous display of two video windows and two osd windows (vidwin0/vidwin1 and osdwin0/osdwin1). ? separate enable for each window ? programmable width, height, and base starting coordinates for each window ? external memory address and offset registers for each window ? support for x2 and x4 zoom in both the horizontal and vertical direction ? osdwin1 can be used as an attribute window for osdwin0 ? attribute window blinking intervals peripheral and electrical specifications 170 submit documentation feedback pclk (negative edge clocking) 18 20 22 hd vd c_field pclk (positive edge clocking)
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 ? field/frame mode for the windows (interlaced/progressive) ? eight step blending process between the osd and video windows ? transparency support for the osd and video data (when a bitmap pixel is zero, there will be no blending for that corresponding video pixel) ? resize from vga to ntsc/pal (640x480 to 720x576) for both the osd and video windows ? reads in ycbcr data in 4:2:2 format from external memory, with the capability for swapping the order of the cbcr component in the 32-bit word (this is relevant to the two video windows) ? support for a ping-pong buffer scheme that can be used for vidwin0 (allows for video data to be accessed from two different locations in ddr2) ? each osd window (either one, but not both at the same time) is capable of reading in rgb data (16-bit data with six bits for the green and five bits each for the red and blue colors) instead of bitmap data in ycbcr format restricted to a maximum of 8-bits ? the osd bitmap data width is selectable between 1, 2, 4, or 8-bits. ? each osd window supports 16 entries for the bitmap (to index into a 256 entry ram/rom clut table). ? indirect support for 24-bit rgb input data (which will be transformed into 16-bit ycbcr video window data) via the wrapper interface in the vpbe. support for a rectangular cursor window and a programmable background color selection. ? programmable color palette with the ability to select between a ram/rom table with support for 256 colors. ? the width, height, and color of the cursor is programmable. ? the display priority is: rectangular-cursor > osdwin1 > osdwin0 > vidwin1 > vidwin0 > background color support for attenuation of the ycbcr values for the rec601 standard. the following restrictions exist in the osd module. both the osd windows and vidwin1 should be fully contained inside vidwin0. when one of the osd windows is set in rgb mode, it cannot overlap with vidwin1. the osd cannot support more than 256 color entries in the clut ram/rom. some applications require higher number of entries, and one workaround is to use vidwin1 as an overlay mimicking the osd window. another option is to use the rgb mode for one of the osd windows which allows for a total of 16-bits for the r, g, and b colors (64k colors). the osd can only read ycbcr in 4:2:2 interleaved format for the video windows. other formats, either color separate storage or 4:4:4/4:2:0 interleaved data is not supported. if the vertical resize filter is enabled for either of the video windows, the maximum horizontal window dimension cannot be greater than 720 currently. it is not possible to use both of the clut roms at the same time. however, one window can use ram while another uses rom. the 24-bit rgb input mode is only valid for one of the two video windows (programmable) and does not apply to the osd windows. the osd register memory mapping is shown in table 6-58 . table 6-58. osd register descriptions address register description 0x01c7 2600 mode osd mode register 0x01c7 2604 vidwinmd video window mode setup 0x01c7 2608 osdwin0md osd window mode setup 0x01c7 260c osdwin1md osd window 1 mode setup (when used as a second osd window) 0x01c7 260c osdatrmd osd attribute window mode setup (when used as an attribute window) submit documentation feedback peripheral and electrical specifications 171
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-58. osd register descriptions (continued) 0x01c7 2610 rectcur rectangular cursor setup 0x01c7 2614 rsv0 reserved 0x01c7 2618 vidwin0ofst video window 0 offset 0x01c7 261c vidwin1ofst video window 1 offset 0x01c7 2620 osdwin0ofst osd window 0 offset 0x01c7 2624 osdwin1ofst osd window 1 offset 0x01c7 2628 rsv1 reserved 0x01c7 262c vidwin0adr video window 0 address 0x01c7 2630 vidwin1adr video window 1 address 0x01c7 2634 rsv2 reserved 0x01c7 2638 osdwin0adr osd window 0 address 0x01c7 263c osdwin1adr osd window 1 address 0x01c7 2640 basepx base pixel x 0x01c7 2644 basepy base pixel y 0x01c7 2648 vidwin0xp video window 0 x-position 0x01c7 264c vidwin0yp video window 0 y-position 0x01c7 2650 vidwin0xl video window 0 x-size 0x01c7 2654 vidwin0yl video window 0 y-size 0x01c7 2658 vidwin1xp video window 1 x-position 0x01c7 265c vidwin1yp video window 1 y-position 0x01c7 2660 vidwin1xl video window 1 x-size 0x01c7 2664 vidwin1yl video window 1 y-size 0x01c7 2668 osdwin0xp osd bitmap window 0 x-position 0x01c7 266c osdwin0yp osd bitmap window 0 y-position 0x01c7 2670 osdwin0xl osd bitmap window 0 x-size 0x01c7 2674 osdwin0yl osd bitmap window 0 y-size 0x01c7 2678 osdwin1xp osd bitmap window 1 x-position 0x01c7 267c osdwin1yp osd bitmap window 1 y-position 0x01c7 2680 osdwin1xl osd bitmap window 1 x-size 0x01c7 2684 osdwin1yl osd bitmap window 1 y-size 0x01c7 2688 curxp rectangular cursor window x-position 0x01c7 268c curyp rectangular cursor window y-position 0x01c7 2690 curxl rectangular cursor window x-size 0x01c7 2694 curyl rectangular cursor window y-size 0x01c7 2698 rsv3 reserved 0x01c7 269c rsv4 reserved 0x01c7 26a0 w0bmp01 window 0 bitmap value to palette map 0/1 0x01c7 26a4 w0bmp23 window 0 bitmap value to palette map 2/3 0x01c7 26a8 w0bmp45 window 0 bitmap value to palette map 4/5 0x01c7 26ac w0bmp67 window 0 bitmap value to palette map 6/7 0x01c7 26b0 w0bmp89 window 0 bitmap value to palette map 8/9 0x01c7 26b4 w0bmpab window 0 bitmap value to palette map a/b 0x01c7 26b8 w0bmpcd window 0 bitmap value to palette map c/d 0x01c7 26bc w0bmpef window 0 bitmap value to palette map e/f 0x01c7 26c0 w1bmp01 window 1 bitmap value to palette map 0/1 0x01c7 26c4 w1bmp23 window 1 bitmap value to palette map 2/3 0x01c7 26c8 w1bmp45 window 1 bitmap value to palette map 4/5 0x01c7 26cc w1bmp67 window 1 bitmap value to palette map 6/7 peripheral and electrical specifications 172 submit documentation feedback
6.13.2.2 video encoder (venc) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-58. osd register descriptions (continued) 0x01c7 26d0 w1bmp89 window 1 bitmap value to palette map 8/9 0x01c7 26d4 w1bmpab window 1 bitmap value to palette map a/b 0x01c7 26d8 w1bmpcd window 1 bitmap value to palette map c/d 0x01c7 26dc w1bmpef window 1 bitmap value to palette map e/f 0x01c7 26e0 - reserved 0x01c7 26e4 rsv5 reserved 0x01c7 26e8 miscctl miscellaneous control 0x01c7 26ec clutramycb clut ramycb setup 0x01c7 26f0 clutramcr clut ram setup 0x01c7 26f4 transpval clut ram setup 0x01c7 26f8 rsv6 reserved 0x01c7 26fc ppvwin0adr ping-pong video window 0 address analog/dacs interface of the video encoder (venc) supports the following features. master clock input - 27mhz (x2 upsampling) sdtv support ? composite ntsc-m, pal-b/d/g/h/i ? s-video (y/c) ? component ypbpr (smpte/ebu n10, betacam, mii) ? rgb ? non-interlace ? cgms/wss ? line 21 closed caption data encoding ? chroma low pass filter 1.5mhz/3mhz ? programmable sc-h phase hdtv support ? progressive output (525p/625p) ? component ypbpr ? rgb ? cgms/wss 4 10-bit over-sampling d/a converters optional 7.5% pedestal 16-235/0-255 input amplitude selectable programmable luma delay master/slave operation internal color bar generation (100%/75%) the digital lcd controller (dlcd) of the venc supports the following features. programmable dclk various output formats ? ycbcr 16bit ? ycbcr 8bit ? itu-r bt. 656 ? parallel rgb 24bit low pass filter for digital rgb output programmable timing generator submit documentation feedback peripheral and electrical specifications 173
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com master/slave operation internal color bar generation (100%/75%) the venc register memory mapping including the digital lcd and dacs is shown in table 6-59 . table 6-59. venc (including digital lcd and dacs) register descriptions address register description 0x01c7 2400 vmod video mode 0x01c7 2404 vidctl video interface i/o control 0x01c7 2408 vdpro video data processing 0x01c7 240c syncctl sync control 0x01c7 2410 hspls horizontal sync pulse width 0x01c7 2414 vspls vertical sync pulse width 0x01c7 2418 hint horizontal interval 0x01c7 241c hstart horizontal valid data start position 0x01c7 2420 hvalid horizontal data valid range 0x01c7 2424 vint vertical interval 0x01c7 2428 vstart vertical valid data start position 0x01c7 242c vvalid vertical data valid range 0x01c7 2430 hsdly horizontal sync delay 0x01c7 2434 vsdly vertical sync delay 0x01c7 2438 ycctl ycbcr control 0x01c7 243c rgbctl rgb control 0x01c7 2440 rgbclp rgb level clipping 0x01c7 2444 linectl line id control 0x01c7 2448 cullline culling line control 0x01c7 244c lcdout lcd output signal control 0x01c7 2450 brts brightness start position signal control 0x01c7 2454 brtw brightness width signal control 0x01c7 2458 acctl lcd_ac signal control 0x01c7 245c pwmp pwm start position signal control 0x01c7 2460 pwmw pwm width signal control 0x01c7 2464 dclkctl dclk control 0x01c7 2468 dclkptn0 dclk pattern 0 0x01c7 246c dclkptn1 dclk pattern 1 0x01c7 2470 dclkptn2 dclk pattern 2 0x01c7 2474 dclkptn3 dclk pattern 3 0x01c7 2478 dclkptn0a dclk auxiliary pattern 0 0x01c7 247c dclkptn1a dclk auxiliary pattern 1 0x01c7 2480 dclkptn2a dclk auxiliary pattern 2 0x01c7 2484 dclkptn3a dclk auxiliary pattern 3 0x01c7 2488 dclkhs horizontal dclk mask start 0x01c7 248c dclkhsa horizontal auxiliary dclk mask start 0x01c7 2490 dclkhr horizontal dclk mask range 0x01c7 2494 dclkvs vertical dclk mask start 0x01c7 2498 dclkvr vertical dclk mask range 0x01c7 249c capctl caption control 0x01c7 24a0 capdo caption data odd field 0x01c7 24a4 capde caption data even field 0x01c7 24a8 atr0 video attribute data # 0 peripheral and electrical specifications 174 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-59. venc (including digital lcd and dacs) register descriptions (continued) 0x01c7 24ac atr1 video attribute data # 1 0x01c7 24b0 atr2 video attribute data # 2 0x01c7 24b4 0x01c7 24b4 reserved 0x01c7 24b4 0x01c7 24b4 0x01c7 24b8 vstat video status 0x01c7 24bc reserved 0x01c7 24c0 0x01c7 24c4 dactst dac test 0x01c7 24c8 ycolvl yout and cout levels 0x01c7 24cc scprog sub-carrier programming 0x01c7 24d0 0x01c7 24d4 reserved 0x01c7 24d8 0x01c7 24dc cvbs composite mode 0x01c7 24e0 cmpnt component mode 0x01c7 24e4 etmg0 cvbs timing control 0 0x01c7 24e8 etmg1 cvbs timing control 1 0x01c7 24ec etmg2 component timing control 0 0x01c7 24f0 etmg3 component timing control 1 0x01c7 24f4 dacsel dac output select 0x01c7 24f8 reserved 0x01c7 24fc 0x01c7 2500 argbx0 analog rgb matrix 0 0x01c7 2504 argbx1 analog rgb matrix 1 0x01c7 2508 argbx2 analog rgb matrix 2 0x01c7 250c argbx3 analog rgb matrix 3 0x01c7 2510 argbx4 analog rgb matrix 4 0x01c7 2514 drgbx0 digital rgb matrix 0 0x01c7 2518 drgbx1 digital rgb matrix 1 0x01c7 251c drgbx2 digital rgb matrix 2 0x01c7 2520 drgbx3 digital rgb matrix 3 0x01c7 2524 drgbx4 digital rgb matrix 4 0x01c7 2528 vstarta vertical data valid start position for even field 0x01c7 252c osdclk0 osd clock control 0 0x01c7 2530 osdclk1 osd clock control 1 0x01c7 2534 hvldcl0 horizontal valid culling control 0 0x01c7 2538 hvldcl1 horizontal valid culling control 1 0x01c7 253c osdhadv osd horizontal sync advance submit documentation feedback peripheral and electrical specifications 175
6.13.2.3 vpbe electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-60. timing requirements for vpbe clk inputs (see figure 6-50 ) a-513, -594 no. unit min max 1 t c(pclk) cycle time, pclk 13.33 160 ns 2 t w(pclkh) pulse duration, pclk high 5.7 ns 3 t w(pclkl) pulse duration, pclk low 5.7 ns 4 t t(pclk) transition time, pclk 3 ns 5 t c(vpbeclk) cycle time, vpbeclk 13.33 160 ns 6 t w(vpbeclkh) pulse duration, vpbeclk high 5.7 ns 7 t w(vpbeclkl) pulse duration, vpbeclk low 5.7 ns 8 t t(vpbeclk) transition time, vpbeclk 3 ns figure 6-50. vpbe pclk and vpbeclk timing peripheral and electrical specifications 176 submit documentation feedback 1 pclk 2 3 7 5 6 4 8 vpbeclk 4 8
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-61. timing requirements for vpbe control input with respect to pclk and vpbeclk (1) (see figure 6-51 ) a-513, -594 no. unit min max 9 t su(vctlv-pclk) setup time, vctl valid before pclk edge 2 ns 10 t h(pclk-vctlv) hold time, vctl valid after pclk edge 0.5 ns 27 t su(vctlv-vpbeclk) setup time, vctl valid before vpbeclk rising edge 2 ns 28 t h(vpbeclk-vctlv) hold time, vctl valid after vpbeclk rising edge 0.5 ns 33 t su(field-pclk) setup time, lcd_field valid before pclk edge 5p (2) ns 34 t h(pclk-field) hold time, lcd_field valid after pclk edge 5p (2) ns 35 t su(field-vpbeclk) setup time, lcd_field valid before vpbeclk edge 5p (2) ns 36 t h(vpbeclk-field) hold time, lcd_field valid after vpbeclk edge 5p (2) ns (1) pclk may be configured to operate in either positive or negative edge clocking mode. when in positive edge clocking mode, the rising edge of pclk is referenced. when in negative edge clocking mode, the falling edge of pclk is referenced. (2) p = 1/(vclkin clock frequency) in ns. vclkin is either pclk or vpbeclk, whichever is used. figure 6-51. vpbe input timing with respect to pclk and vpbeclk submit documentation feedback peripheral and electrical specifications 177 pclk (positive edge clocking) 9 pclk (negative edge clocking) 10 vctl (a) a. vctl = hsync and vsync vpbeclk 27 28 33 34 35 36 lcd_field
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-62. switching characteristics over recommended operating conditions for vpbe control and data output with respect to pclk and vpbeclk (1) (see figure 6-52 ) a-513 -594 no. parameter unit min max min max 11 t d(pclk-vctlv) delay time, pclk edge to vctl valid 13.3 13.3 ns 12 t d(pclk-vctliv) delay time, pclk edge to vctl invalid 2 2 ns 13 t d(pclk-vdatav) delay time, pclk edge to vdata valid 13.6 13.3 ns 14 t d(pclk-vdataiv) delay time, pclk edge to vdata invalid 2 2 ns 29 t d(vpbeclk-vctlv) delay time, vpbeclk rising edge to vctl valid 13.3 13.3 ns 30 t d(vpbeclk-vctliv) delay time, vpbeclk rising edge to vctl invalid 2 2 ns 31 t d(vpbeclk-vdatav) delay time, vpbeclk rising edge to vdata valid 13.6 13.3 ns 32 t d(vpbeclk-vdataiv) delay time, vpbeclk rising edge to vdata invalid 2 2 ns (1) pclk may be configured to operate in either positive or negative edge clocking mode. when in positive edge clocking mode, the rising edge of pclk is referenced. when in negative edge clocking mode, the falling edge of pclk is referenced. figure 6-52. vpbe output timing with respect to pclk and vpbeclk 178 peripheral and electrical specifications submit documentation feedback pclk (positive edge clocking) 13, 31 pclk (negative edge clocking) 1 1, 29 vctl (a) a. vctl = hsync, vsync, lcd_field, and lcd_oe b. vdat a = cout[7:0], yout[7:0], r[7:0], g[7:0], and b[7:0] vdata (b) 14, 32 12, 30 vpbeclk
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-63. switching characteristics over recommended operating conditions for vpbe control and data output with respect to vclk (1) (2) (see figure 6-53 ) a-513, -594 no. parameter mode (3) unit min max 17 t c(vclk) cycle time, vclk 13.33 160 ns pulse duration, vclk high (positive-edge clocking) h - 1.3 (4) h - 0.3 (4) ns 18 t w(vclkh) pulse duration, vclk high (negative-edge clocking) l - 1.3 (4) l - 0.3 (4) ns pulse duration, vclk low (positive-edge clocking) l + 0.3 (4) l + 1.3 (4) ns 19 t w(vclkl) pulse duration, vclk low (negative-edge clocking) h + 0.3 (4) h + 1.3 (4) ns 20 t t(vclk) transition time, vclk 3 ns 21 t d(vclkinh-vclkh) delay time, vclkin high to vclk high 2 12 ns 22 t d(vclkinl-vclkl) delay time, vclkin low to vctl low 2 12 ns delay time, vclk negative edge to vctl valid 7.5 ns 23 t d(vclk-vctlv) delay time, vclk positive edge to vctl valid 6.9 ns delay time, vclk negative edge to vctl invalid 2 ns 24 t d(vclkl-vctliv) delay time, vclk positive edge to vctl invalid 1.5 ns delay time, vclk negative edge to vdata valid 6.8 ns 25 t d(vclk-vdatav) delay time, vclk positive edge to vdata valid 6.3 ns rgb 2.1 ns delay time, vclk negative edge to vdata invalid ycc 2.5 ns 26 t d(vclkl-vdataiv) rgb 1.9 ns delay time, vclk positive edge to vdata invalid ycc 2.1 ns (1) the vpbe may be configured to operate in either positive or negative edge clocking mode. when in positive edge clocking mode, the rising edge of vclk is referenced. when in negative edge clocking mode, the falling edge of vclk is referenced. (2) vclkin = pclk or vpbeclk (3) rgb and ycc modes utilize different data pins. rgb mode uses data pins: r[7:0], g[7:0], and b[7:0]. ycc mode uses data pins: cout[7:0] and yout[7:0]. (4) h and l are the high and low pulse widths of the input clock to the vpbe, respectively. for example, if vpbeclk is used as the input clock and it has a high pulse duration of 6.67 ns, the resulting high pulse duration of vclk, if positive-edge clocking is selected, will be a max of 6.37 ns and a min of 5.27 ns. figure 6-53. vpbe control and data output timing with respect to vclk submit documentation feedback peripheral and electrical specifications 179 vclk (positive edge clocking) vclk (negative edge clocking) 17 vctl (b) vdata (c) 18 19 22 21 23 24 25 26 vclkin (a) a. vclkin = pclk or vpbeclk b. vctl = hsync, vsync, lcd_field, and lcd_oe c. vdat a = cout[7:0], yout[7:0], r[7:0], g[7:0], and b[7:0] 20 20
6.13.2.4 dac electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-64. switching characteristics over recommended operating conditions for dac static specifications a-513, -594 no. parameter test conditions unit min typ max dc accuracy -1.0 1.0 lsb integral non-linearity (inl) -0.5 0.5 lsb differential non-linearity (dnl) analog output 0.5 lsb offset error 5 %f s gain error 500 mv pp full-scale output voltage r load = 500 peripheral and electrical specifications 180 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the dm6446's analog video dac outputs are designed to drive a 500- submit documentation feedback peripheral and electrical specifications 181 lowpassfilter =6.5mhz ~r =500 f c load dac 75 75 i out amplifier gain=5.6v/v
6.14 host-port interface (hpi) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the host port interface (hpi) provides a parallel port through which an external host processor can access the dm6446 memory space. the host device is asynchronous to the dm6446 clocks and functions as a master to the hpi interface. the hpi enables a host device and dm6446 to exchange information via internal or external memory. both the host and dm6446 can access the hpi control register (hpic) and the hpi address registers (hpiar, hpiaw). the host can access the hpi data register (hpid) and the hpic by using the external data and interface control signals. the hpi interface shares the davinci emifa 16-bit data bus pins for multiplexed address/data and supports the following modes: 16 bit multiplexed mode / dual half-word cycles (16 bit host data bus/32 bit memory width) arm rom supports booting of dm6446 arm processor from an external processor the hpi registers are summarized in table 6-66 . for more detailed information on the hpi peripheral, see the documentation support section for the host port interface (hpi) reference guide. table 6-66. host-port interface (hpi) register descriptions hex address range acronym register name 0x01c4 0030 hpi_ctl host-port interface configuration register 0x01c6 7800 hpi_pid 0x01c6 7804 hpipwremu hpi power and emulation management register 0x01c6 7808 - 0x01c6 782f ? reserved 0x01c6 7830 hpic host-port interface control register 0x01c6 7834 hpiaw host-port interface write address register 0x01c6 7838 hpiar host-port interface read address register 0x01c6 783c - 0x01c6 7fff ? reserved the hpi_ctl register sets the owner of hpia(r/w) and hpic registers for hpi address and control. the details for hpi_ctl are shown in figure 6-55 and table 6-67 . figure 6-55. hpi_ctl register 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 reserved r-0000000000000000 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 ctl add reserved timout mode mode r-0 r/w-0 r/w-0 r/w-10000000 legend: r = read, w = write, n = value at reset table 6-67. hpi_ctl register description name description ctlmode hpic register write access 0 = external host 1 = dm6446 (if addmode = 1) addmode hpia register write access 0 = external host 1 = dm6446 timout host burst write timeout value peripheral and electrical specifications 182 submit documentation feedback
6.14.1 host-port interface (hpi) electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-68. timing requirements for host-port interface cycles (1) (2) (see figure 6-56 through figure 6-57 ) a-513, -594 no. unit min max 1 t su(selv-hstbl) setup time, select signals (3) valid before hstrobe low 5 ns 2 t h(hstbl-selv) hold time, select signals (3) valid after hstrobe low 2 ns 3 t w(hstbl) pulse duration, hstrobe low 15 ns 4 t w(hstbh) pulse duration, hstrobe high between consecutive accesses 2p ns 12 t su(hdv-hstbh) setup time, host data valid before hstrobe high 5 ns 13 t h(hstbh-hdv) hold time, host data valid after hstrobe high 0 ns hold time, hstrobe high after hrdy low. hstrobe should not be 14 t h(hrdyl-hstbh) inactivated until hrdy is active (low); otherwise, hpi writes will not complete 2 ns properly. (1) hstrobe refers to the following logical operation on hcs, hds1, and hds2: [not( hds1 xor hds2)] or hcs. (2) p = 1/cpu clock frequency in ns. for example, when running parts at 594 mhz, use p = 1.68 ns. (3) select signals include: hcntl[1:0] and hr/ w. for hpi16 mode only, select signals also include hhwil. table 6-69. switching characteristics over recommended operating conditions during host-port interface cycles (1) (see figure 6-56 through figure 6-57 ) a-513, -594 no. parameter unit min max 6 t d(hstbl-hrdyh) delay time, hstrobe low to hrdy high (2) 0 12 ns 7 t d(hstbl-hdlz) delay time, hstrobe low to hd low impedance for an hpi read 0 ns 8 t d(hdv-hrdyl) delay time, hd valid to hrdy low 0 ns 9 t oh(hstbh-hdv) output hold time, hd valid after hstrobe high 1.5 ns 15 t d(hstbh-hdhz) delay time, hstrobe high to hd high impedance 7 ns delay time, hstrobe low to hd valid (hpi16 mode, 2nd half-word 16 t d(hstbl-hdv) 15 ns only) 20 t d(hcsl-hrdyh) delay time, hcs low to hrdy high 0 12 ns (1) hstrobe refers to the following logical operation on hcs, hds1, and hds2: [not( hds1 xor hds2)] or hcs. (2) this parameter is used during hpid reads and writes. for reads, at the beginning of the first half-word transfer (hpi16) on the falling edge of hstrobe, the hpi sends the request to the edma internal address generation hardware, and hrdy remains high until the edma internal address generation hardware loads the requested data into hpid. for writes, hrdy goes high if the internal write buffer is full. submit documentation feedback peripheral and electrical specifications 183
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com a. hstrobe refers to the following logical operation on hcs, hds1, and hds2: [not( hds1 xor hds2)] or hcs. figure 6-56. hpi16 read timing a. hstrobe refers to the following logical operation on hcs, hds1, and hds2: [not( hds1 xor hds2)] or hcs. figure 6-57. hpi16 write timing 184 peripheral and electrical specifications submit documentation feedback 1st half-word 2nd half-word 8 6 15 9 16 15 9 7 4 3 2 1 2 1 2 1 2 1 2 1 2 1 hcntl[1:0] hr/w hhwil hstrobe (a) hcs hd[15:0] (output) hrdy 3 20 1st half-word 2nd half-word 13 12 13 12 4 14 3 2 1 2 1 2 1 2 1 2 1 2 1 hcntl[1:0] hr/w hhwil hstrobe (a) hcs hd[15:0] (input) hrdy 3 6 20
6.15 usb 2.0 6.15.1 usbphy_ctl register description tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the dm6446 usb2.0 peripheral supports the following features: usb 2.0 peripheral at speeds high speed (hs: 480 mb/s) and full speed (fs: 12 mb/s) usb 2.0 host at speeds hs, fs, and low speed (ls: 1.5 mb/s) all transfer modes (control, bulk, interrupt, and isochronous) 4 transmit (tx) and 4 receive (rx) endpoints in addition to endpoint 0 fifo ram ? 4k endpoint ? programmable size connects to a standard utmi+ phy with a 60 mhz, 8-bit interface connects to a standard charge pump for vbus 5 v generation rndis mode for accelerating rndis type protocols using short packet termination over usb the usb physical interface control register usbphy_ctl is described in figure 6-58 and table 6-70 . figure 6-58. usbphy_ctl register 31 9 8 7 6 5 4 3 2 1 0 reserved phyclkgd sesnden vbdtcten rsv phypllon clko1sel oscpdwn rsv phypdwn r-0000 0000 0000 0000 0000 000 r-0 r/w-1 r/w-1 r-0 r/w-0 r/w-0 r/w-1 r/w-1 r/w-1 legend: r = read, w = write, n = value at reset table 6-70. usbphy_ctl register descriptions name description phyclkgd usb phy power and clock good 0 = phy power not ramped or pll not locked 1 = phy power is good and pll is locked sesnden session end comparator enable 0 = comparator disabled 1 = comparator enabled vbdtcten vbus comparator enable 0 = comparators (except session end) disabled 1 = comparators (except session end) enabled phypllon usb phy pll suspend override 0 = normal pll operation 1 = override pll suspend state clko1sel clk_out1 frequency select 0 = 24 mhz 1 = 12 mhz oscpdwn usb phy oscillator power down control 0 = phy oscillator powered 1 = phy oscillator power off phypdwn usb phy power down control 0 = phy powered 1 = phy power off submit documentation feedback peripheral and electrical specifications 185
6.15.2 usb2.0 peripheral register description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the usb register memory mapping is shown in table 6-71 . table 6-71. usb 2.0 register descriptions address acronym register description 0x01c6 4000 revr revision register 0x01c6 4004 ctrlr control register 0x01c6 4008 statr status register 0x01c6 4010 rndisr rndis register 0x01c6 4014 autoreq auto request register 0x01c6 4020 intsrcr usb interrupt source register 0x01c6 4024 intsetr usb interrupt source set register 0x01c6 4028 intclrr usb interrupt source clear register 0x01c6 402c intmskr usb interrupt mask register 0x01c6 4030 intmsksetr usb interrupt mask set register 0x01c6 4034 intmskclrr usb interrupt mask clear register 0x01c6 4038 intmaskedr usb interrupt source masked register 0x01c6 403c eoir usb end of interrupt register 0x01c6 4040 intvectr usb interrupt vector register 0x01c6 4080 tcppicr tx cppi control register 0x01c6 4084 tcppitdr tx cppi teardown register 0x01c6 4088 tcppieoir tx cppi dma controller end of interrupt register 0x01c6 408c tcppiivectr tx cppi dma controller interrupt vector register 0x01c6 4090 tcppimsksr tx cppi masked status register 0x01c6 4094 tcppirawsr tx cppi raw status register 0x01c6 4098 tcppiiensetr tx cppi interrupt enable set register 0x01c6 409c tcppiienclrr tx cppi interrupt enable clear register 0x01c6 40c0 rcppicr rx cppi control register 0x01c6 40d0 rcppimsksr rx cppi masked status register 0x01c6 40d4 rcppirawsr rx cppi raw status register 0x01c6 40d8 rcppiensetr rx cppi interrupt enable set register 0x01c6 40dc rcppiienclrr rx cppi interrupt enable clear register 0x01c6 40e0 rbufcnt0 rx buffer count 0 register 0x01c6 40e4 rbufcnt1 rx buffer count 1 register 0x01c6 40e8 rbufcnt2 rx buffer count 2 register 0x01c6 40ec rbufcnt3 rx buffer count 3 register tx/rx ccpi channel 0 state block 0x01c6 4100 tcppidmastatew0 tx cppi dma state word 0 0x01c6 4104 tcppidmastatew1 tx cppi dma state word 1 0x01c6 4108 tcppidmastatew2 tx cppi dma state word 2 0x01c6 410c tcppidmastatew3 tx cppi dma state word 3 0x01c6 4110 tcppidmastatew4 tx cppi dma state word 4 0x01c6 4114 tcppidmastatew5 tx cppi dma state word 5 0x01c6 4118 tcppidmastatew6 tx cppi dma state word 6 0x01c6 411c tcppicompptr tx cppi completion pointer 0x01c6 4120 rcppidmastatew0 rx cppi dma state word 0 0x01c6 4124 rcppidmastatew1 rx cppi dma state word 1 0x01c6 4128 rcppidmastatew2 rx cppi dma state word 2 peripheral and electrical specifications 186 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-71. usb 2.0 register descriptions (continued) address acronym register description 0x01c6 412c rcppidmastatew3 rx cppi dma state word 3 0x01c6 4130 rcppidmastatew4 rx cppi dma state word 4 0x01c6 4134 rcppidmastatew5 rx cppi dma state word 5 0x01c6 4138 rcppidmastatew6 rx cppi dma state word 6 0x01c6 413c rcppicompptr rx cppi completion pointer tx/rx ccpi channel 1 state block 0x01c6 4140 tcppidmastatew0 tx cppi dma state word 0 0x01c6 4144 tcppidmastatew1 tx cppi dma state word 1 0x01c6 4148 tcppidmastatew2 tx cppi dma state word 2 0x01c6 414c tcppidmastatew3 tx cppi dma state word 3 0x01c6 4150 tcppidmastatew4 tx cppi dma state word 4 0x01c6 4154 tcppidmastatew5 tx cppi dma state word 5 0x01c6 4158 tcppidmastatew6 tx cppi dma state word 6 0x01c6 415c tcppicompptr tx cppi completion pointer 0x01c6 4160 rcppidmastatew0 rx cppi dma state word 0 0x01c6 4164 rcppidmastatew1 rx cppi dma state word 1 0x01c6 4168 rcppidmastatew2 rx cppi dma state word 2 0x01c6 416c rcppidmastatew3 rx cppi dma state word 3 0x01c6 4170 rcppidmastatew4 rx cppi dma state word 4 0x01c6 4174 rcppidmastatew5 rx cppi dma state word 5 0x01c6 4178 rcppidmastatew6 rx cppi dma state word 6 0x01c6 417c rcppicompptr rx cppi completion pointer tx/rx ccpi channel 2 state block 0x01c6 4180 tcppidmastatew0 tx cppi dma state word 0 0x01c6 4184 tcppidmastatew1 tx cppi dma state word 1 0x01c6 4188 tcppidmastatew2 tx cppi dma state word 2 0x01c6 418c tcppidmastatew3 tx cppi dma state word 3 0x01c6 4190 tcppidmastatew4 tx cppi dma state word 4 0x01c6 4194 tcppidmastatew5 tx cppi dma state word 5 0x01c6 4198 tcppidmastatew6 tx cppi dma state word 6 0x01c6 419c tcppicompptr tx cppi completion pointer 0x01c6 41a0 rcppidmastatew0 rx cppi dma state word 0 0x01c6 41a4 rcppidmastatew1 rx cppi dma state word 1 0x01c6 41a8 rcppidmastatew2 rx cppi dma state word 2 0x01c6 41ac rcppidmastatew3 rx cppi dma state word 3 0x01c6 41ba rcppidmastatew4 rx cppi dma state word 4 0x01c6 41b4 rcppidmastatew5 rx cppi dma state word 5 0x01c6 41b8 rcppidmastatew6 rx cppi dma state word 6 0x01c6 41bc rcppicompptr rx cppi completion pointer tx/rx ccpi channel 3 state block 0x01c6 41c0 tcppidmastatew0 tx cppi dma state word 0 0x01c6 41c4 tcppidmastatew1 tx cppi dma state word 1 0x01c6 41c8 tcppidmastatew2 tx cppi dma state word 2 0x01c6 41cc tcppidmastatew3 tx cppi dma state word 3 0x01c6 41d0 tcppidmastatew4 tx cppi dma state word 4 0x01c6 41d4 tcppidmastatew5 tx cppi dma state word 5 0x01c6 41d8 tcppidmastatew6 tx cppi dma state word 6 submit documentation feedback peripheral and electrical specifications 187
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-71. usb 2.0 register descriptions (continued) address acronym register description 0x01c6 41dc tcppicompptr tx cppi completion pointer 0x01c6 41e0 rcppidmastatew0 rx cppi dma state word 0 0x01c6 41e4 rcppidmastatew1 rx cppi dma state word 1 0x01c6 41e8 rcppidmastatew2 rx cppi dma state word 2 0x01c6 41ec rcppidmastatew3 rx cppi dma state word 3 0x01c6 41f0 rcppidmastatew4 rx cppi dma state word 4 0x01c6 41f4 rcppidmastatew5 rx cppi dma state word 5 0x01c6 41f8 rcppidmastatew6 rx cppi dma state word 6 0x01c6 41fc rcppicompptr rx cppi completion pointer core registers 0x01c6 4400 faddr function address register 0x01c6 4401 power power management register 0x01c6 4402 intrtx interrupt register for endpoint 0 plus tx endpoints 1 to 4 0x01c6 4404 intrrx interrupt register for rx endpoints 1 to 4 0x01c6 4406 intrtxe interrupt enable register for intrtx 0x01c6 4408 intrrxe interrupt enable register for intrrx 0x01c6 440a intrusb interrupt register for common usb interrupts 0x01c6 440b intrusbe interrupt enable register for intrusb 0x01c6 440c frame frame number register 0x01c6 440e index index register for selecting the endpoint status and control registers 0x01c6 440f testmode register to enable the usb 2.0 test modes 0x01c6 4410 txmaxp maximum packet size for peripheral/host tx endpoint (index register set to select endpoints 1 - 4 only) peri_csr0 control status register for endpoint 0 in peripheral mode. (index register set to select endpoint 0) host_csr0 control status register for endpoint 0 in host mode. (index register set to select endpoint 0) 0x01c6 4412 peri_txcsr control status register for peripheral tx endpoint. (index register set to select endpoints 1 - 4) host_txcsr control status register for host tx endpoint. (index register set to select endpoints 1 - 4) 0x01c6 4414 rxmaxp maximum packet size for peripheral/host rx endpoint (index register set to select endpoints 1 - 4 only) peri_rxcsr control status register for peripheral rx endpoint. (index register set to select endpoints 1 - 4) 0x01c6 4416 host_rxcsr control status register for host rx endpoint. (index register set to select endpoints 1 - 4) count0 number of received bytes in endpoint 0 fifo. (index register set to select endpoint 0) 0x01c6 4418 rxcount number of bytes in host rx endpoint fifo. (index register set to select endpoints 1 - 4) 0x01c6 441a host_type0 defines the speed of endpoint 0 0x01c6 441a host_txtype sets the operating speed, transaction protocol and peripheral endpoint number for the host tx endpoint. (index register set to select endpoints 1 - 4 only) 0x01c6 441b host_naklimit0 sets the nak response timeout on endpoint 0. (index register set to select endpoint 0) 0x01c6 441b host_txinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host tx endpoint. (index register set to select endpoints 1 - 4 only) 0x01c6 441c host_rxtype sets the operating speed, transaction protocol and peripheral endpoint number for the host rx endpoint. (index register set to select endpoints 1 - 4 only) peripheral and electrical specifications 188 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-71. usb 2.0 register descriptions (continued) address acronym register description 0x01c6 441d host_rxinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host rx endpoint. (index register set to select endpoints 1 - 4 only) 0x01c6 441f configdata returns details of core configuration (index register set to select endpoint 0) 0x01c6 4420 fifo0 tx and rx fifo register for endpoint 0 0x01c6 4424 fifo1 tx and rx fifo register for endpoint 1 0x01c6 4428 fifo2 tx and rx fifo register for endpoint 2 0x01c6 442c fifo3 tx and rx fifo register for endpoint 3 0x01c6 4430 fifo4 tx and rx fifo register for endpoint 4 0x01c6 4462 txfifosz tx endpoint fifo size (index register set to select endpoints 0 - 4 only) 0x01c6 4463 rxfifosz rx endpoint fifo size (index register set to select endpoints 0 - 4 only) 0x01c6 4464 txfifoaddr tx endpoint fifo address (index register set to select endpoints 0 - 4 only) 0x01c6 4466 rxfifoaddr rx endpoint fifo address (index register set to select endpoints 0 - 4 only) target endpoint control registers (valid only in host mode) - eptrg0 0x01c6 4480 txfuncaddr address of the target function that has to be accessed through the associated tx endpoint 0x01c6 4482 txhubaddr address of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 4483 txhubport port of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 4484 rxfuncaddr address of the target function that has to be accessed through the associated rx endpoint 0x01c6 4486 rxhubaddr address of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 4487 rxhubport port of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub target endpoint control registers (valid only in host mode) - eptrg1 0x01c6 4488 txfuncaddr address of the target function that has to be accessed through the associated tx endpoint 0x01c6 448a txhubaddr address of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 448b txhubport port of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 448c rxfuncaddr address of the target function that has to be accessed through the associated rx endpoint 0x01c6 448e rxhubaddr address of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 448f rxhubport port of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub target endpoint control registers (valid only in host mode) - eptrg2 0x01c6 4490 txfuncaddr address of the target function that has to be accessed through the associated tx endpoint 0x01c6 4492 txhubaddr address of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 4493 txhubport port of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub submit documentation feedback peripheral and electrical specifications 189
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-71. usb 2.0 register descriptions (continued) address acronym register description 0x01c6 4494 rxfuncaddr address of the target function that has to be accessed through the associated rx endpoint 0x01c6 4496 rxhubaddr address of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 4497 rxhubport port of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub target endpoint control registers (valid only in host mode) - eptrg3 0x01c6 4498 txfuncaddr address of the target function that has to be accessed through the associated tx endpoint 0x01c6 449a txhubaddr address of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 449b txhubport port of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 449c rxfuncaddr address of the target function that has to be accessed through the associated rx endpoint 0x01c6 449e rxhubaddr address of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 449f rxhubport port of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub target endpoint control registers (valid only in host mode) - eptrg4 0x01c6 44a0 txfuncaddr address of the target function that has to be accessed through the associated tx endpoint 0x01c6 44a2 txhubaddr address of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 44a3 txhubport port of the hub that has to be accessed through the associated tx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 44a4 rxfuncaddr address of the target function that has to be accessed through the associated rx endpoint 0x01c6 44a6 rxhubaddr address of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub 0x01c6 44a7 rxhubport port of the hub that has to be accessed through the associated rx endpoint. this is used only when full speed or low speed device is connected via a usb2.0 high speed hub control and status register for endpoint 0 - eocsr0 0x01c6 4502 peri_csr0 control status register for endpoint 0 in peripheral mode host_csr0 control status register for endpoint 0 in host mode 0x01c6 4508 count0 number of received bytes in endpoint 0 fifo 0x01c6 450a host_type0 defines the speed of endpoint 0 0x01c6 450b host_naklimit0 sets the nak response timeout on endpoint 0. 0x01c6 450f configdata returns details of core configuration control and status register for endpoint 1 - eocsr1 0x01c6 4510 txmaxp maximum packet size for peripheral/host tx endpoint 0x01c6 4512 peri_txcsr control status register for peripheral tx endpoint host_txcsr control status register for host tx endpoint 0x01c6 4514 rxmaxp maximum packet size for peripheral/host rx endpoint peripheral and electrical specifications 190 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-71. usb 2.0 register descriptions (continued) address acronym register description 0x01c6 4516 peri_rxcsr control status register for peripheral rx endpoint host_rxcsr control status register for host rx endpoint 0x01c6 4518 rxcount number of bytes in host rx endpoint fifo 0x01c6 451a host_txtype sets the operating speed, transaction protocol and peripheral endpoint number for the host tx endpoint. 0x01c6 451b host_txinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host tx endpoint. 0x01c6 451c host_rxtype sets the operating speed, transaction protocol and peripheral endpoint number for the host rx endpoint. 0x01c6 451d host_rxinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host rx endpoint. control and status register for endpoint 2 - eocsr2 0x01c6 4520 txmaxp maximum packet size for peripheral/host tx endpoint 0x01c6 4522 peri_txcsr control status register for peripheral tx endpoint host_txcsr control status register for host tx endpoint 0x01c6 4524 rxmaxp maximum packet size for peripheral/host rx endpoint 0x01c6 4526 peri_rxcsr control status register for peripheral rx endpoint host_rxcsr control status register for host rx endpoint 0x01c6 4528 rxcount number of bytes in host rx endpoint fifo 0x01c6 452a host_txtype sets the operating speed, transaction protocol and peripheral endpoint number for the host tx endpoint. 0x01c6 452b host_txinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host tx endpoint. 0x01c6 452c host_rxtype sets the operating speed, transaction protocol and peripheral endpoint number for the host rx endpoint. 0x01c6 452d host_rxinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host rx endpoint. control and status register for endpoint 3 - eocsr3 0x01c6 4530 txmaxp maximum packet size for peripheral/host tx endpoint 0x01c6 4532 peri_txcsr control status register for peripheral tx endpoint host_txcsr control status register for host tx endpoint 0x01c6 4534 rxmaxp maximum packet size for peripheral/host rx endpoint 0x01c6 4536 peri_rxcsr control status register for peripheral rx endpoint host_rxcsr control status register for host rx endpoint 0x01c6 4538 rxcount number of bytes in host rx endpoint fifo 0x01c6 453a host_txtype sets the operating speed, transaction protocol and peripheral endpoint number for the host tx endpoint. 0x01c6 453b host_txinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host tx endpoint. 0x01c6 453c host_rxtype sets the operating speed, transaction protocol and peripheral endpoint number for the host rx endpoint. 0x01c6 453d host_rxinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host rx endpoint. control and status register for endpoint 4 - eocsr4 0x01c6 4540 txmaxp maximum packet size for peripheral/host tx endpoint 0x01c6 4542 peri_txcsr control status register for peripheral tx endpoint host_txcsr control status register for host tx endpoint 0x01c6 4544 rxmaxp maximum packet size for peripheral/host rx endpoint 0x01c6 4546 peri_rxcsr control status register for peripheral rx endpoint host_rxcsr control status register for host rx endpoint 0x01c6 4548 rxcount number of bytes in host rx endpoint fifo submit documentation feedback peripheral and electrical specifications 191
6.15.3 usb2.0 electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-71. usb 2.0 register descriptions (continued) address acronym register description 0x01c6 454a host_txtype sets the operating speed, transaction protocol and peripheral endpoint number for the host tx endpoint. 0x01c6 454b host_txinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host tx endpoint. 0x01c6 454c host_rxtype sets the operating speed, transaction protocol and peripheral endpoint number for the host rx endpoint. 0x01c6 454d host_rxinterval sets the polling interval for interrupt/isoc transactions or the nak response timeout on bulk transactions for host rx endpoint. table 6-72. switching characteristics over recommended operating conditions for usb2.0 (see figure 6-59 ) a-513, -594 no. parameter low speed full speed high speed unit 1.5 mbps 12 mbps 480 mbps min max min max min max 1 t r(d) rise time, usb_dp and usb_dm signals (1) 75 300 4 20 0.5 ns 2 t f(d) fall time, usb_dp and usb_dm signals (1) 75 300 4 20 0.5 ns 3 t rfm rise/fall time, matching (2) 80 125 90 111.11 ? ? % 4 v crs output signal cross-over voltage (1) 1.3 2 1.3 2 ? ? v 5 t jr(source)nt source (host) driver jitter, next transition 2 2 (3) ns t jr(func)nt function driver jitter, next transition 25 2 (3) ns 6 t jr(source)pt source (host) driver jitter, paired transition (4) 1 1 (3) ns t jr(func)pt function driver jitter, paired transition 10 1 (3) ns 7 t w(eopt) pulse duration, eop transmitter 1250 1500 160 175 ? ? ns 8 t w(eopr) pulse duration, eop receiver 670 82 ? ns 9 t (drate) data rate 1.5 12 480 mb/s 10 z drv driver output resistance ? ? 28 49.5 40.5 49.5 w 11 usb_r1 usb reference resistor 9.9 10.1 9.9 10.1 9.9 10.1 k w (1) low speed: c l = 200 pf, full speed: c l = 50 pf, high speed: c l = 50 pf (2) t rfm = (t r /t f ) x 100. [excluding the first transaction from the idle state.] (3) for more detailed information, see the universal serial bus specification revision 2.0, chapter 7. electrical. (4) t jr = t px(1) - t px(0) figure 6-59. usb2.0 integrated transceiver interface timing 192 peripheral and electrical specifications submit documentation feedback t r t f v crs 90% v oh 10% v ol usb_dm usb_dp t per ? t jr
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 figure 6-60. usb reference resistor routing submit documentation feedback peripheral and electrical specifications 193 usb_v ssref usb_r1 usb 10k 1% (a) a.placethe10k 1%asclosetothedeviceaspossible.
6.16 universal asynchronous receiver/transmitter (uart) 6.16.1 uart peripheral register description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com dm6446 has 3 uart peripherals. each uart has the following features: 16-byte storage space for both the transmitter and receiver fifos 1, 4, 8, or 14 byte selectable receiver fifo trigger level for autoflow control and dma dma signaling capability for both received and transmitted data programmable auto-rts and auto-cts for autoflow control frequency pre-scale values from 1 to 65,535 to generate appropriate baud rates prioritized interrupts programmable serial data formats ? 5, 6, 7, or 8-bit characters ? even, odd, or no parity bit generation and detection ? 1, 1.5, or 2 stop bit generation false start bit detection line break generation and detection internal diagnostic capabilities ? loopback controls for communications link fault isolation ? break, parity, overrun, and framing error simulation modem control functions (cts, rts) on uart2 only. the uart0/1/2 registers are listed in table 6-73 , table 6-74 , and table 6-75 . table 6-73. uart0 register descriptions hex address range acronym register name 0x01c2 0000 rbr uart0 receiver buffer register (read only) 0x01c2 0000 thr uart0 transmitter holding register (write only) 0x01c2 0004 ier uart0 interrupt enable register 0x01c2 0008 iir uart0 interrupt identification register (read only) 0x01c2 0008 fcr uart0 fifo control register (write only) 0x01c2 000c lcr uart0 line control register 0x01c2 0010 mcr uart0 modem control register 0x01c2 0014 lsr uart0 line status register 0x01c2 0018 - reserved 0x01c2 001c - reserved 0x01c2 0020 dll uart0 divisor latch (lsb) 0x01c2 0024 dlh uart0 divisor latch (msb) 0x01c2 0028 pid1 peripheral identification register 1 0x01c2 002c pid2 peripheral identification register 2 0x01c2 0030 pwremu_mgmt uart0 power and emulation management register 0x01c2 0034 - 0x01c2 03ff - reserved table 6-74. uart1 register descriptions hex address range acronym register name 0x01c2 0400 rbr uart1 receiver buffer register (read only) 0x01c2 0400 thr uart1 transmitter holding register (write only) 0x01c2 0404 ier uart1 interrupt enable register 0x01c2 0408 iir uart1 interrupt identification register (read only) peripheral and electrical specifications 194 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-74. uart1 register descriptions (continued) hex address range acronym register name 0x01c2 0408 fcr uart1 fifo control register (write only) 0x01c2 040c lcr uart1 line control register 0x01c2 0410 mcr uart1 modem control register 0x01c2 0414 lsr uart1 line status register 0x01c2 0418 - reserved 0x01c2 041c - reserved 0x01c2 0420 dll uart1 divisor latch (lsb) 0x01c2 0424 dlh uart1 divisor latch (msb) 0x01c2 0428 pid1 peripheral identification register 1 0x01c2 042c pid2 peripheral identification register 2 0x01c2 0430 pwremu_mgmt uart1 power and emulation management register 0x01c2 0434 - 0x01c2 07ff - reserved table 6-75. uart2 register descriptions hex address range acronym register name 0x01c2 0800 rbr uart2 receiver buffer register (read only) 0x01c2 0800 thr uart2 transmitter holding register (write only) 0x01c2 0804 ier uart2 interrupt enable register 0x01c2 0808 iir uart2 interrupt identification register (read only) 0x01c2 0808 fcr uart2 fifo control register (write only) 0x01c2 080c lcr uart2 line control register 0x01c2 0810 mcr uart2 modem control register 0x01c2 0814 lsr uart2 line status register 0x01c2 0818 - reserved 0x01c2 081c - reserved 0x01c2 0820 dll uart2 divisor latch (lsb) 0x01c2 0824 dlh uart2 divisor latch (msb) 0x01c2 0828 pid1 peripheral identification register 1 0x01c2 082c pid2 peripheral identification register 2 0x01c2 0830 pwremu_mgmt uart2 power and emulation management register 0x01c2 0834 - 0x01c2 0bff - reserved submit documentation feedback peripheral and electrical specifications 195
6.16.2 uart electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-76. timing requirements for uartx receive (1) (see figure 6-61 ) a-513, -594 no. unit min max 4 t w(urxdb) pulse duration, receive data bit (rxdn) [15/30/100 pf] 0.96u 1.05u ns 5 t w(urxsb) pulse duration, receive start bit [15/30/100 pf] 0.96u 1.05u ns (1) u = uart baud time = 1/programmed baud rate. table 6-77. switching characteristics over recommended operating conditions for uartx transmit (1) (see figure 6-61 ) a-513, -594 no. parameter unit min max 1 f (baud) maximum programmable baud rate 128 khz 2 t w(utxdb) pulse duration, transmit data bit (txdn) [15/30/100 pf] u - 2 u + 2 ns 3 t w(utxsb) pulse duration, transmit start bit [15/30/100 pf] u - 2 u + 2 ns (1) u = uart baud time = 1/programmed baud rate. figure 6-61. uart transmit/receive timing 196 peripheral and electrical specifications submit documentation feedback 3 2 start bit data bits uart_txdn uart_rxdn 5 data bits bit start 4
6.17 serial peripheral interface (spi) 6.17.1 spi peripheral register description(s) 6.17.2 spi electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the dm6446 spi peripheral provides a programmable length shift register which allows serial communication with other spi devices through a 3 or 4 wire interface. the spi supports the following features: master mode operation 2 chip selects for interfacing to multiple slave spi devices. 3 or 4 wire interface the spi registers are shown in table 6-78 . table 6-78. spi register descriptions hex address range acronym register name 0x01c6 6800 spigcr0 spi global control register 0 0x01c6 6804 spigcr1 spi global control register 1 0x01c6 6808 spiint spi interrupt register 0x01c6 680c spilvl spi interrupt level register 0x01c6 6810 spiflg spi flag status register 0x01c6 6814 spipc0 spi pin control register 0 0x01c6 6818 ? reserved 0x01c6 681c spipc2 spi pin control register 2 0x01c6 6820 - 0x01c6 6838 ? reserved 0x01c6 683c spidat1 spi shift register 1 0x01c6 6840 spibuf spi buffer register 0x01c6 6844 spiemu spi emulation register 0x01c6 6848 spidelay spi delay register 0x01c6 684c spidef spi default chip select register 0x01c6 6850 spifmt0 spi data format register 0 0x01c6 6854 spifmt1 spi data format register 1 0x01c6 6858 spifmt2 spi data format register 2 0x01c6 685c spifmt3 spi data format register 3 0x01c6 6860 intvec0 spi interrupt vector register 0 0x01c6 6864 intvec1 spi interrupt vector register 1 0x01c6 6868 - 0x01c6 6fff reserved table 6-79. timing requirements for spi (all modes) (1) (see figure 6-62 ) a-513, -594 no. unit min max 1 t c(clk) cycle time, spi_clk 30.3 56888.89 ns 2 t w(clkh) pulse duration, spi_clk high (all master modes) 0.45*t 0.55*t ns 3 t w(clkl) pulse duration, spi_clk low (all master modes 0.45*t 0.55*t ns (1) t = t c(clk) [spi_clk period is equal to the spi module clock divided by a configurable divider.] submit documentation feedback peripheral and electrical specifications 197
6.17.2.1 spi master mode timings (clock phase = 0) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-62. spi_clk timing table 6-80. timing requirements for spi master mode [clock phase = 0] (1) (see figure 6-63 ) a-513, -594 no. unit min max setup time, spi_di (input) valid before spi_clk (output) 4 t su(div-clkl) clock polarity = 0 0.5p + 9.4 ns falling edge setup time, spi_di (in put) valid before spi_clk (output) 5 t su(div-clkh) clock polarity = 1 0.5p + 9.4 ns rising edge hold time, spi_di (input) valid after spi_clk (output) falling 6 t h(clkl-div) clock polarity = 0 0.5p - 4.5 ns edge hold time, spi_di (input) valid after spi_clk (output) rising 7 t h(clkh-div) clock polarity = 1 0.5p - 4.5 ns edge (1) p = period of the spi module clock in nanoseconds (sysclk5). peripheral and electrical specifications 198 submit documentation feedback spix_clk (clock polarity = 0) 1 2 3 spix_clk (clock polarity = 1)
6.17.2.2 spi master mode timings (clock phase = 1) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-81. switching characteristics over recommended operating conditions for spi master mode [clock phase = 0] (see figure 6-63 ) a-513, -594 no. parameter unit min max delay time, spi_clk (output) rising edge to spi_do 8 t d(clkh-dov) clock polarity = 0 -4 5 ns (output) transition delay time, spi_clk (output) falling edge to spi_do 9 t d(clkl-dov) clock polarity = 1 -4 5 ns (output) transition delay time, spi_en[1:0] (output) falling edge to first spi_clk (output) rising or 10 t d(enl-clkh/l) 2p - 2.3 ns falling edge (1) (2) delay time, spi_clk (output) rising or falling edge to spi_en[1:0] (output) 11 t d(clkh/l-enh) 1p + 0.5c - 0.2 ns rising edge (1) (2) (3) (1) p = period of the spi module clock in nanoseconds (sysclk5). (2) this delay can be increased under software control by the c2tdelay register bit field in the spidelay register. (3) c = period of spi_clk signal in ns. figure 6-63. spi master mode external timing (clock phase = 0) table 6-82. timing requirements for spi master mode [clock phase = 1] (1) (see figure 6-64 ) a-513, -594 no. unit min max setup time, spi_di (input) valid before spi_clk 13 t su(div-clkl) clock polarity = 0 0.5p + 9.4 ns (output) rising edge setup time, spi_di (in put) valid before spi_clk 14 t su(div-clkh) clock polarity = 1 0.5p + 9.4 ns (output) falling edge hold time, spi_di (input) valid after spi_clk (output) 15 t h(clkl-div) clock polarity = 0 0.5p - 4.5 ns rising edge hold time, spi_di (input) valid after spi_clk (output) 16 t h(clkh-div) clock polarity = 1 0.5p - 4.5 ns falling edge (1) p = period of the spi module clock in nanoseconds (sysclk5). submit documentation feedback peripheral and electrical specifications 199 spi_clk (clock polarity = 0) spi_clk (clock polarity = 1) spi_di (input) spi_do (output) 4 msb in data lsb in lsb out msb out data 9 10 8 6 5 7 spi_en 11
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-83. switching characteristics over recommended operating conditions for spi master mode [clock phase = 1] (see figure 6-64 ) a-513, -594 no. parameter unit min max delay time, spi_clk (output) falling edge to spi_do 17 t d(clkl-dov) clock polarity = 0 -4 5 ns (output) transition delay time, spi_clk (output) rising edge to spi_do 18 t d(clkh-dov) clock polarity = 1 -4 5 ns (output) transition delay time, spi_en[1:0] (output) falling edge to first spi_clk (output) rising or 19 t d(enl-clkh/l) 2p + 0.5c - 2.3 ns falling edge (1) (2) (3) delay time, spi_clk (output) rising or falling edge to spi_en[1:0] (output) 20 t d(clkh/l-enh) 1p - 0.2 ns rising edge (1) (2) (1) p = period of the spi module clock in nanoseconds (sysclk5). (2) this delay can be increased under software control by the c2tdelay register bit field in the spidelay register. (3) c = period of spi_clk signal in ns. figure 6-64. spi master mode external timing (clock phase = 1) 200 peripheral and electrical specifications submit documentation feedback spi_clk (clock polarity = 0) spi_clk (clock polarity = 1) spi_di (input) spi_do (output) 13 msb in data lsb in lsb out msb out data 17 15 14 16 spi_en 19 20 18
6.18 inter-integrated circuit (i2c) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the inter-integrated circuit (i2c) module provides an interface between dm6446 and other devices compliant with philips semiconductors inter-ic bus (i 2 c-bus?) specification version 2.1. external components attached to this 2-wire serial bus can transmit/receive up to 8-bit data to/from the dsp through the i2c module. the i2c port does not support cbus compatible devices. the i2c port supports: compatible with philips i2c specification revision 2.1 (january 2000) fast mode up to 400 kbps (no fail-safe i/o buffers) noise filter to remove noise 50 ns or less seven- and ten-bit device addressing modes master (transmit/receive) and slave (transmit/receive) functionality events: dma, interrupt, or polling slew-rate limited open-drain output buffers for more detailed information on the i2c peripheral, see the tms320dm644x dmsoc peripherals overview reference guide (literature number sprue19 ). caution the dm6446 i2c pins use a standard 4-ma lvcmos buffer, not the slow i/o buffer defined in the i2c specification. series resistors may be necessary to reduce noise at the system level. submit documentation feedback peripheral and electrical specifications 201
6.18.1 i2c peripheral register description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-84. i2c registers hex address range acronym register name 0x1c2 1000 icoar i2c own address register 0x1c2 1004 icimr i2c interrupt mask register 0x1c2 1008 icstr i2c interrupt status register 0x1c2 100c icclkl i2c clock divider low register 0x1c2 1010 icclkh i2c clock divider high register 0x1c2 1014 iccnt i2c data count register 0x1c2 1018 icdrr i2c data receive register 0x1c2 101c icsar i2c slave address register 0x1c2 1020 icdxr i2c data transmit register 0x1c2 1024 icmdr i2c mode register 0x1c2 1028 icivr i2c interrupt vector register 0x1c2 102c icemdr i2c extended mode register 0x1c2 1030 icpsc i2c prescaler register 0x1c2 1034 icpid1 i2c peripheral identification register 1 0x1c2 1038 icpid2 i2c peripheral identification register 2 peripheral and electrical specifications 202 submit documentation feedback
6.18.2 i2c electrical data/timing 6.18.2.1 inter-integrated circuits (i2c) timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-85. timing requirements for i2c timings (1) (see figure 6-65 ) a-513, -594 no. standard unit fast mode mode min max min max 1 t c(scl) cycle time, scl 10 2.5 s setup time, scl high before sda low (for a repeated start 2 t su(sclh-sdal) 4.7 0.6 s condition) hold time, scl low after sda low (for a start and a repeated 3 t h(scll-sdal) 4 0.6 s start condition) 4 t w(scll) pulse duration, scl low 4.7 1.3 s 5 t w(sclh) pulse duration, scl high 4 0.6 s 6 t su(sdav-sclh) setup time, sda valid before scl high 250 100 (2) ns 7 t h(sda-scll) hold time, sda valid after scl low 0 (3) 0 (3) 0.9 (4) s pulse duration, sda high between stop and start 8 t w(sdah) 4.7 1.3 s conditions 9 t r(sda) rise time, sda 1000 20 + 0.1c b (5) 300 ns 10 t r(scl) rise time, scl 1000 20 + 0.1c b (5) 300 ns 11 t f(sda) fall time, sda 300 20 + 0.1c b (5) 300 ns 12 t f(scl) fall time, scl 300 20 + 0.1c b (5) 300 ns 13 t su(sclh-sdah) setup time, scl high before sda high (for stop condition) 4 0.6 s 14 t w(sp) pulse duration, spike (must be suppressed) 0 50 ns 15 c b (5) capacitive load for each bus line 400 400 pf (1) the i2c pins sda and scl do not feature fail-safe i/o buffers. these pins could potentially draw current when the device is powered down. (2) a fast-mode i 2 c-bus? device can be used in a standard-mode i 2 c-bus system, but the requirement t su(sda-sclh) 3 250 ns must then be met. this will automatically be the case if the device does not stretch the low period of the scl signal. if such a device does stretch the low period of the scl signal, it must output the next data bit to the sda line t r max + t su(sda-sclh) = 1000 + 250 = 1250 ns (according to the standard-mode i 2 c-bus specification) before the scl line is released. (3) a device must internally provide a hold time of at least 300 ns for the sda signal (referred to the v ihmin of the scl signal) to bridge the undefined region of the falling edge of scl. (4) the maximum t h(sda-scll) has only to be met if the device does not stretch the low period [t w(scll) ] of the scl signal. (5) c b = total capacitance of one bus line in pf. if mixed with hs-mode devices, faster fall-times are allowed. figure 6-65. i2c receive timings submit documentation feedback peripheral and electrical specifications 203 10 8 4 3 7 12 5 6 14 2 3 13 stop start repeated start stop sda scl 1 11 9
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-86. switching characteristics for i2c timings (see figure 6-66 ) a-513, -594 no. parameter standard unit fast mode mode min max min max 16 t c(scl) cycle time, scl 10 2.5 s delay time, scl high to sda low (for a repeated start 17 t d(sclh-sdal) 4.7 0.6 s condition) delay time, sda low to scl low (for a start and a repeated 18 t d(sdal-scll) 4 0.6 s start condition) 19 t w(scll) pulse duration, scl low 4.7 1.3 s 20 t w(sclh) pulse duration, scl high 4 0.6 s 21 t d(sdav-sclh) delay time, sda valid to scl high 250 100 ns 22 t v(scll-sdav) valid time, sda valid after scl low 0 0 0.9 s pulse duration, sda high between stop and start 23 t w(sdah) 4.7 1.3 s conditions 28 t d(sclh-sdah) delay time, scl high to sda high (for stop condition) 4 0.6 s 29 c p capacitance for each i2c pin 10 10 pf figure 6-66. i2c transmit timings caution the dm6446 i2c pins use a standard 4-ma lvcmos buffer, not the slow i/o buffer defined in the i2c specification. series resistors may be necessary to reduce noise at the system level. 204 peripheral and electrical specifications submit documentation feedback 23 19 18 22 20 21 17 18 28 stop start repeated start stop sda scl 16
6.19 audio serial port (asp) 6.19.1 asp peripheral register description(s) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the asp provides these functions: full-duplex communication double-buffered data registers, which allow a continuous data stream independent framing and clocking for receive and transmit direct interface to industry-standard codecs, analog interface chips (aics), and other serially connected analog-to-digital (a/d) and digital-to-analog (d/a) devices external shift clock or an internal, programmable frequency shift clock for data transfer for more detailed information on the asp peripheral, see the documentation support section for the audio serial port (asp) reference guide. table 6-87. asp register descriptions hex address range acronym register name 0x01e0 2000 drr asp data receive register 0x01e0 2004 dxr asp data transmit register 0x01e0 2008 spcr asp serial port control register 0x01e0 200c rcr asp receive control register 0x01e0 2010 xcr asp transmit control register 0x01e0 2014 srgr asp sample rate generator register 0x01e0 2024 pcr asp pin control register submit documentation feedback peripheral and electrical specifications 205
6.19.2 asp electrical data/timing 6.19.2.1 audio serial port (asp) timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-88. timing requirements for asp (1) (see figure 6-67 ) a-513, -594 no. unit min max 2 t c(ckrx) cycle time, clkr/x clkr/x ext 38.5 or 2p (2) (3) ns 3 t w(ckrx) pulse duration, clkr/x high or clkr/x low clkr/x ext 19.25 or p (2) (3) (4) ns clkr int 11.8 5 t su(frh-ckrl) setup time, external fsr high before clkr low ns clkr ext 1.3 clkr int 6 6 t h(ckrl-frh) hold time, external fsr high after clkr low ns clkr ext 3 clkr int 10.7 7 t su(drv-ckrl) setup time, dr valid before clkr low ns clkr ext 0.9 clkr int 3 8 t h(ckrl-drv) hold time, dr valid after clkr low ns clkr ext 3.1 clkx int 12.2 10 t su(fxh-ckxl) setup time, external fsx high before clkx low ns clkx ext 1.4 clkx int 6 11 t h(ckxl-fxh) hold time, external fsx high after clkx low ns clkx ext 3 (1) clkrp = clkxp = fsrp = fsxp = 0. if polarity of any of the signals is inverted, then the timing references of that signal are also inverted. (2) p = 1/sysclk5 clock frequency in ns. for example, when running parts at dsp frequency of 594 mhz, use p = 10.1 ns. (3) use whichever value is greater. (4) the asp does not require a duty cycle specification, just ensure the minimum pulse duration specification is met. peripheral and electrical specifications 206 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-89. switching characteristics over recommended operating conditions for asp (1) (2) (see figure 6-67 ) a-513, -594 no. parameter unit min max 2 t c(ckrx) cycle time, clkr/x clkr/x int 38.5 (3) ns 3 t w(ckrx) pulse duration, clkr/x high or clkr/x low clkr/x int c - 1 (4) c + 1 (4) ns 4 t d(ckrh-frv) delay time, clkr high to internal fsr valid clkr int -2.1 3 ns clkx int -1.7 3 9 t d(ckxh-fxv) delay time, clkx high to internal fsx valid ns clkx ext 1.7 14.4 clkx int -3.9 4 disable time, dx high impedance following last data 12 t dis(ckxh-dxhz) ns bit from clkx high clkx ext 2.1 13 clkx int -3.9 + d1 (5) 4 + d2 (5) ns 13 t d(ckxh-dxv) delay time, clkx high to dx valid clkx ext 2.1 + d1 (5) 14.5 + d2 (5) ns delay time, fsx high to dx valid fsx int -2.3 + d1 (6) 4 + d2 (6) 14 t d(fxh-dxv) only applies when in data ns fsx ext 1.9 + d1 (6) 12.1 + d2 (6) delay 0 (xdatdly = 00b) mode (1) clkrp = clkxp = fsrp = fsxp = 0. if polarity of any of the signals is inverted, then the timing references of that signal are also inverted. (2) minimum delay times also represent minimum output hold times. (3) minimum clkr/x cycle times must be met, even when clkr/x is generated by an internal clock source. minimum clkr/x cycle times are based on internal logic speed; the maximum usable speed may be lower due to edma limitations and ac timing requirements. (4) c = h or l s = sample rate generator input clock = 4p if clksm = 1 (p = 1/cpu clock frequency [sysclk1]) s = sample rate generator input clock = not supported if clksm = 0 (no clks pin on dm6446) h = clkx high pulse width = (clkgdv/2 + 1) * s if clkgdv is even h = (clkgdv + 1)/2 * s if clkgdv is odd or zero l = clkx low pulse width = (clkgdv/2) * s if clkgdv is even l = (clkgdv + 1)/2 * s if clkgdv is odd or zero clkgdv should be set appropriately to ensure the asp bit rate does not exceed the maximum limit [see footnote (3) above]. (5) extra delay from clkx high to dx valid applies only to the first data bit of a device, if and only if dxena = 1 in spcr. if dxena = 0, then d1 = d2 = 0 if dxena = 1, then d1 = 4p, d2 = 8p (6) extra delay from fsx high to dx valid applies only to the first data bit of a device, if and only if dxena = 1 in spcr. if dxena = 0, then d1 = d2 = 0 if dxena = 1, then d1 = 4p, d2 = 8p submit documentation feedback peripheral and electrical specifications 207
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com figure 6-67. asp timing 208 peripheral and electrical specifications submit documentation feedback bit(n-1) (n-2) (n-3) bit 0 bit(n-1) (n-2) (n-3) 14 12 11 10 9 3 3 2 8 7 6 5 4 4 3 3 2 13 (a) 13 (a) a. parameter no. 13 applies to the first data bit only when xda tdl y 0. clkr fsr (int) fsr (ext) dr clkx fsx (int) fsx (ext) fsx (xdatdly=00b) dx
6.20 ethernet media access controller (emac) 6.20.1 emac peripheral register description(s) tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 the ethernet media access controller (emac) provides an efficient interface between dm6446 and the network. the dm6446 emac support both 10base-t and 100base-tx, or 10 mbits/second (mbps) and 100 mbps in either half- or full-duplex mode, with hardware flow control and quality of service (qos) support. the emac controls the flow of packet data from the dm6446 device to the phy. the mdio module controls phy configuration and status monitoring. both the emac and the mdio modules interface to the dm6446 device through a custom interface that allows efficient data transmission and reception. this custom interface is referred to as the emac control module, and is considered integral to the emac/mdio peripheral. the control module is also used to multiplex and control interrupts. for the dm6446 ethernet media access controller (emac)/management data input/output (mdio) module reference guide which describes the dm6446 emac peripheral in detail, see the documentation support section . for a list of supported registers and register fields, see table 6-90 [ethernet mac (emac) control registers] and table 6-91 [emac statistics registers] in this data manual. table 6-90. ethernet mac (emac) control registers hex address range acronym register name 01c8 0000 txidver transmit identification and version register 01c8 0004 txcontrol transmit control register 01c8 0008 txteardown transmit teardown register 01c8 0010 rxidver receive identification and version register 01c8 0014 rxcontrol receive control register 01c8 0018 rxteardown receive teardown register 01c8 0080 txintstatraw transmit interrupt status (unmasked) register 01c8 0084 txintstatmasked transmit interrupt status (masked) register 01c8 0088 txintmaskset transmit interrupt mask set register 01c8 008c txintmaskclear transmit interrupt mask clear register 01c8 0090 macinvector mac input vector register 01c8 00a0 rxintstatraw receive interrupt status (unmasked) register 01c8 00a4 rxintstatmasked receive interrupt status (masked) register 01c8 00a8 rxintmaskset receive interrupt mask set register 01c8 00ac rxintmaskclear receive interrupt mask clear register 01c8 00b0 macintstatraw mac interrupt status (unmasked) register 01c8 00b4 macintstatmasked mac interrupt status (masked) register 01c8 00b8 macintmaskset mac interrupt mask set register 01c8 00bc macintmaskclear mac interrupt mask clear register 01c8 0100 rxmbpenable receive multicast/broadcast/promiscuous channel enable register 01c8 0104 rxunicastset receive unicast enable set register 01c8 0108 rxunicastclear receive unicast clear register 01c8 010c rxmaxlen receive maximum length register 01c8 0110 rxbufferoffset receive buffer offset register 01c8 0114 rxfilterlowthresh receive filter low priority frame threshold register 01c8 0120 rx0flowthresh receive channel 0 flow control threshold register 01c8 0124 rx1flowthresh receive channel 1 flow control threshold register 01c8 0128 rx2flowthresh receive channel 2 flow control threshold register 01c8 012c rx3flowthresh receive channel 3 flow control threshold register submit documentation feedback peripheral and electrical specifications 209
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-90. ethernet mac (emac) control registers (continued) hex address range acronym register name 01c8 0130 rx4flowthresh receive channel 4 flow control threshold register 01c8 0134 rx5flowthresh receive channel 5 flow control threshold register 01c8 0138 rx6flowthresh receive channel 6 flow control threshold register 01c8 013c rx7flowthresh receive channel 7 flow control threshold register 01c8 0140 rx0freebuffer receive channel 0 free buffer count register 01c8 0144 rx1freebuffer receive channel 1 free buffer count register 01c8 0148 rx2freebuffer receive channel 2 free buffer count register 01c8 014c rx3freebuffer receive channel 3 free buffer count register 01c8 0150 rx4freebuffer receive channel 4 free buffer count register 01c8 0154 rx5freebuffer receive channel 5 free buffer count register 01c8 0158 rx6freebuffer receive channel 6 free buffer count register 01c8 015c rx7freebuffer receive channel 7 free buffer count register 01c8 0160 maccontrol mac control register 01c8 0164 macstatus mac status register 01c8 0168 emcontrol emulation control register 01c8 016c fifocontrol fifo control register (transmit and receive) 01c8 0170 macconfig mac configuration register 01c8 0174 softreset soft reset register 01c8 01d0 macsrcaddrlo mac source address low bytes register (lower 16-bits) 01c8 01d4 macsrcaddrhi mac source address high bytes register (upper 32-bits) 01c8 01d8 machash1 mac hash address register 1 01c8 01dc machash2 mac hash address register 2 01c8 01e0 bofftest back off test register 01c8 01e4 tpacetest transmit pacing algorithm test register 01c8 01e8 rxpause receive pause timer register 01c8 01ec txpause transmit pause timer register 01c8 0200 - 01c8 02fc (see table 6-91 ) emac statistics registers 01c8 0500 macaddrlo mac address low bytes register 01c8 0504 macaddrhi mac address high bytes register 01c8 0508 macindex mac index register 01c8 0600 tx0hdp transmit channel 0 dma head descriptor pointer register 01c8 0604 tx1hdp transmit channel 1 dma head descriptor pointer register 01c8 0608 tx2hdp transmit channel 2 dma head descriptor pointer register 01c8 060c tx3hdp transmit channel 3 dma head descriptor pointer register 01c8 0610 tx4hdp transmit channel 4 dma head descriptor pointer register 01c8 0614 tx5hdp transmit channel 5 dma head descriptor pointer register 01c8 0618 tx6hdp transmit channel 6 dma head descriptor pointer register 01c8 061c tx7hdp transmit channel 7 dma head descriptor pointer register 01c8 0620 rx0hdp receive channel 0 dma head descriptor pointer register 01c8 0624 rx1hdp receive channel 1 dma head descriptor pointer register 01c8 0628 rx2hdp receive channel 2 dma head descriptor pointer register 01c8 062c rx3hdp receive channel 3 dma head descriptor pointer register 01c8 0630 rx4hdp receive channel 4 dma head descriptor pointer register 01c8 0634 rx5hdp receive channel 5 dma head descriptor pointer register 01c8 0638 rx6hdp receive channel 6 dma head descriptor pointer register 01c8 063c rx7hdp receive channel 7 dma head descriptor pointer register peripheral and electrical specifications 210 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-90. ethernet mac (emac) control registers (continued) hex address range acronym register name transmit channel 0 completion pointer (interrupt acknowledge) 01c8 0640 tx0cp register transmit channel 1 completion pointer (interrupt acknowledge) 01c8 0644 tx1cp register transmit channel 2 completion pointer (interrupt acknowledge) 01c8 0648 tx2cp register transmit channel 3 completion pointer (interrupt acknowledge) 01c8 064c tx3cp register transmit channel 4 completion pointer (interrupt acknowledge) 01c8 0650 tx4cp register transmit channel 5 completion pointer (interrupt acknowledge) 01c8 0654 tx5cp register transmit channel 6 completion pointer (interrupt acknowledge) 01c8 0658 tx6cp register transmit channel 7 completion pointer (interrupt acknowledge) 01c8 065c tx7cp register receive channel 0 completion pointer (interrupt acknowledge) 01c8 0660 rx0cp register receive channel 1 completion pointer (interrupt acknowledge) 01c8 0664 rx1cp register receive channel 2 completion pointer (interrupt acknowledge) 01c8 0668 rx2cp register receive channel 3 completion pointer (interrupt acknowledge) 01c8 066c rx3cp register receive channel 4 completion pointer (interrupt acknowledge) 01c8 0670 rx4cp register receive channel 5 completion pointer (interrupt acknowledge) 01c8 0674 rx5cp register receive channel 6 completion pointer (interrupt acknowledge) 01c8 0678 rx6cp register receive channel 7 completion pointer (interrupt acknowledge) 01c8 067c rx7cp register submit documentation feedback peripheral and electrical specifications 211
tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-91. emac statistics registers hex address range acronym register name 01c8 0200 rxgoodframes good receive frames register broadcast receive frames register 01c8 0204 rxbcastframes (total number of good broadcast frames received) multicast receive frames register 01c8 0208 rxmcastframes (total number of good multicast frames received) 01c8 020c rxpauseframes pause receive frames register receive crc errors register (total number of frames received with 01c8 0210 rxcrcerrors crc errors) receive alignment/code errors register 01c8 0214 rxaligncodeerrors (total number of frames received with alignment/code errors) receive oversized frames register 01c8 0218 rxoversized (total number of oversized frames received) receive jabber frames register 01c8 021c rxjabber (total number of jabber frames received) receive undersized frames register 01c8 0220 rxundersized (total number of undersized frames received) 01c8 0224 rxfragments receive frame fragments register 01c8 0228 rxfiltered filtered receive frames register 01c8 022c rxqosfiltered received qos filtered frames register receive octet frames register 01c8 0230 rxoctets (total number of received bytes in good frames) good transmit frames register 01c8 0234 txgoodframes (total number of good frames transmitted) 01c8 0238 txbcastframes broadcast transmit frames register 01c8 023c txmcastframes multicast transmit frames register 01c8 0240 txpauseframes pause transmit frames register 01c8 0244 txdeferred deferred transmit frames register 01c8 0248 txcollision transmit collision frames register 01c8 024c txsinglecoll transmit single collision frames register 01c8 0250 txmulticoll transmit multiple collision frames register 01c8 0254 txexcessivecoll transmit excessive collision frames register 01c8 0258 txlatecoll transmit late collision frames register 01c8 025c txunderrun transmit underrun error register 01c8 0260 txcarriersense transmit carrier sense errors register 01c8 0264 txoctets transmit octet frames register 01c8 0268 frame64 transmit and receive 64 octet frames register 01c8 026c frame65t127 transmit and receive 65 to 127 octet frames register 01c8 0270 frame128t255 transmit and receive 128 to 255 octet frames register 01c8 0274 frame256t511 transmit and receive 256 to 511 octet frames register 01c8 0278 frame512t1023 transmit and receive 512 to 1023 octet frames register 01c8 027c frame1024tup transmit and receive 1024 to 1518 octet frames register 01c8 0280 netoctets network octet frames register 01c8 0284 rxsofoverruns receive fifo or dma start of frame overruns register 01c8 0288 rxmofoverruns receive fifo or dma middle of frame overruns register receive dma start of frame and middle of frame overruns 01c8 028c rxdmaoverruns register peripheral and electrical specifications 212 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-92. emac control module registers hex address range acronym register name 0x01c8 1004 ewctl interrupt control register 0x01c8 1008 ewinttcnt interrupt timer count table 6-93. emac control module ram hex address range acronym register name 0x01c8 2000 - 0x01c8 3fff emac control module descriptor memory submit documentation feedback peripheral and electrical specifications 213
6.20.2 emac electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-94. timing requirements for mrclk (see figure 6-68 ) a-513, -594 no. unit min max 1 t c(mrclk) cycle time, mrclk 40 ns 2 t w(mrclkh) pulse duration, mrclk high 14 ns 3 t w(mrclkl) pulse duration, mrclk low 14 ns figure 6-68. mrclk timing (emac - receive) table 6-95. timing requirements for mtclk (see figure 6-68 ) a-513, -594 no. unit min max 1 t c(mtclk) cycle time, mtclk 40 ns 2 t w(mtclkh) pulse duration, mtclk high 14 ns 3 t w(mtclkl) pulse duration, mtclk low 14 ns figure 6-69. mtclk timing (emac - transmit) table 6-96. timing requirements for emac mii receive 10/100 mbit/s (1) (see figure 6-70 ) a-513, -594 no. unit min max 1 t su(mrxd-mrclkh) setup time, receive selected signals valid before mrclk high 8 ns 2 t h(mrclkh-mrxd) hold time, receive selected signals valid after mrclk high 8 ns (1) receive selected signals include: mrxd3-mrxd0, mrxdv, and mrxer. figure 6-70. emac receive interface timing peripheral and electrical specifications 214 submit documentation feedback mrclk (input) 1 2 mrxd3?mrxd0, mrxdv , mrxer (inputs) mrclk 2 3 1 mtclk 2 3 1
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-97. switching characteristics over recommended operating conditions for emac mii transmit 10/100 mbit/s (1) (see figure 6-71 ) a-513, -594 no. unit min max 1 t d(mtclkh-mtxd) delay time, mtclk high to transmit selected signals valid 5 25 ns (1) transmit selected signals include: mtxd3-mtxd0, and mtxen. figure 6-71. emac transmit interface timing submit documentation feedback peripheral and electrical specifications 215 1 mtclk (input) mtxd3?mtxd0, mtxen (outputs)
6.21 management data input/output (mdio) 6.21.1 peripheral register description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the management data input/output (mdio) module continuously polls all 32 mdio addresses in order to enumerate all phy devices in the system. the management data input/output (mdio) module implements the 802.3 serial management interface to interrogate and control ethernet phy(s) using a shared two-wire bus. host software uses the mdio module to configure the auto-negotiation parameters of each phy attached to the emac, retrieve the negotiation results, and configure required parameters in the emac module for correct operation. the module is designed to allow almost transparent operation of the mdio interface, with very little maintenance from the core processor. only one phy may be connected at any given time. for more detailed information on the mdio peripheral, see the documentation support section for the ethernet media access controller (emac)/management data input/output (mdio) module reference guide. for a list of supported registers and register fields, see table 6-98 [mdio registers] in this data manual. table 6-98. mdio registers hex address range acronym register name 0x01c8 4000 ? reserved 0x01c8 4004 control mdio control register 0x01c8 4008 alive mdio phy alive status register 0x01c8 400c link mdio phy link status register 0x01c8 4010 linkintraw mdio link status change interrupt (unmasked) register 0x01c8 4014 linkintmasked mdio link status change interrupt (masked) register 0x01c8 4018 ? reserved 0x01c8 4020 userintraw mdio user command complete interrupt (unmasked) register 0x01c8 4024 userintmasked mdio user command complete interrupt (masked) register 0x01c8 4028 userintmaskset mdio user command complete interrupt mask set register 0x01c8 402c userintmaskclear mdio user command complete interrupt mask clear register 0x01c8 4030 - 0x01c8 407c ? reserved 0x01c8 4080 useraccess0 mdio user access register 0 0x01c8 4084 userphysel0 mdio user phy select register 0 0x01c8 4088 useraccess1 mdio user access register 1 0x01c8 408c userphysel1 mdio user phy select register 1 0x01c8 4090 - 0x01c8 47ff ? reserved peripheral and electrical specifications 216 submit documentation feedback
6.21.2 management data input/output (mdio) electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-99. timing requirements for mdio input (see figure 6-72 and figure 6-73 ) a-513, -594 no. unit min max 1 t c(mdclk) cycle time, mdclk 400 ns 2 t w(mdclk) pulse duration, mdclk high/low 180 ns 3 t t(mdclk) transition time, mdclk 5 ns 4 t su(mdio-mdclkh) setup time, mdio data input valid before mdclk high 15 ns 5 t h(mdclkh-mdio) hold time, mdio data input valid after mdclk high 0 ns figure 6-72. mdio input timing table 6-100. switching characteristics over recommended operating conditions for mdio output (see figure 6-73 ) a-513, -594 no. unit min max 7 t d(mdclkl-mdio) delay time, mdclk low to mdio data output valid -0.6 100 ns figure 6-73. mdio output timing submit documentation feedback peripheral and electrical specifications 217 1 4 5 mdclk mdio (input) 3 3 1 7 mdclk mdio (output)
6.22 timer 6.22.1 timer peripheral register description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the dm6446 device has 3 64-bit general-purpose timers which have the following features: 64-bit count-up counter timer modes: ? 64-bit general-purpose timer mode ? dual 32-bit general-purpose timer mode (timer 0 and 1) ? watchdog timer mode (timer 2) 2 possible clock sources: ? internal clock ? external clock input via timer input pin tim_in (timer 0 only) 2 operation modes: ? one-time operation (timer runs for one period then stops) ? continuous operation (timer automatically resets after each period) generates interrupts to both the dsp and the arm cpus generates sync event to edma for more detailed information, see the documentation support section for the timer reference guide. table 6-101. timer 0 registers hex address range acronym description 0x01c2 1400 - reserved 0x01c2 1404 emumgt_clkspd timer 0 emulation management/clock speed register 0x01c2 1410 tim12 timer 0 counter register 12 0x01c2 1414 tim34 timer 0 counter register 34 0x01c2 1418 prd12 timer 0 period register 12 0x01c2 141c prd34 timer 0 period register 34 0x01c2 1420 tcr timer 0 control register 0x01c2 1424 tgcr timer 0 global control register 0x01c2 1428 - 0x01c2 17ff - reserved table 6-102. timer 1 registers hex address range acronym description 0x01c2 1800 - reserved 0x01c2 1804 emumgt_clkspd timer 1 emulation management/clock speed register 0x01c2 1810 tim12 timer 1 counter register 12 0x01c2 1814 tim34 timer 1 counter register 34 0x01c2 1818 prd12 timer 1 period register 12 0x01c2 181c prd34 timer 1 period register 34 0x01c2 1820 tcr timer 1 control register 0x01c2 1824 tgcr timer 1 global control register 0x01c2 1828 - 0x01c2 1bff - reserved peripheral and electrical specifications 218 submit documentation feedback
6.22.2 timer electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-103. timer 2 (watchdog) registers hex address range acronym description 0x01c2 1c00 - reserved 0x01c2 1c04 emumgt_clkspd timer 2 emulation management/clock speed register 0x01c2 1c10 tim12 timer 2 counter register 12 0x01c2 1c14 tim34 timer 2 counter register 34 0x01c2 1c18 prd12 timer 2 period register 12 0x01c2 1c1c prd34 timer 2 period register 34 0x01c2 1c20 tcr timer 2 control register 0x01c2 1c24 tgcr timer 2 global control register 0x01c2 1c28 wdtcr timer 2 watchdog timer control register 0x01c2 1c2c - 0x01c2 1fff - reserved table 6-104. timing requirements for timer input (1) (2) (see figure 6-74 ) a-513, -594 no. unit min max 1 t c(tin) cycle time, tim_in 4p ns 2 t w(tinph) pulse duration, tim_in high 0.45c 0.55c ns 3 t w(tinpl) pulse duration, tim_in low 0.45c 0.55c ns 4 t t(tin) transition time, tim_in 0.05c ns (1) p = mxi/clkin cycle time in ns. for example, when mxi/clkin frequency is 27 mhz, use p = 37.0 37 ns. (2) c = tim_in cycle time in ns. for example, when tim_in frequency is 27 mhz, use c = 37.0 37 ns figure 6-74. timer timing submit documentation feedback peripheral and electrical specifications 219 1 2 4 4 3 tim_in
6.23 pulse width modulator (pwm) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the 3 dm6446 pulse width modulator (pwm) peripherals support the following features: period counter first-phase duration counter repeat count for one-shot operation configurable to operate in either one-shot or continuous mode buffered period and first-phase duration registers one-shot operation triggerable by hardware events with programmable edge transitions. (low-to-high or high-to-low). one-shot operation generates n+1 periods of waveform, n being the repeat count register value emulation support the register memory maps for pwm0/1/2 are shown in table 6-105 , table 6-106 , and table 6-107 . table 6-105. pwm0 register memory map hex address range acronym register name 0x01c2 2000 reserved 0x01c2 2004 pcr pwm0 peripheral control register 0x01c2 2008 cfg pwm0 configuration register 0x01c2 200c start pwm0 start register 0x01c2 2010 rpt pwm0 repeat count register 0x01c2 2014 per pwm0 period register 0x01c2 2018 ph1d pwm0 first-phase duration register 0x01c2 201c - 0x01c2 23ff - reserved table 6-106. pwm1 register memory map hex address range acronym register name 0x01c2 2400 reserved 0x01c2 2404 pcr pwm1 peripheral control register 0x01c2 2408 cfg pwm1 configuration register 0x01c2 240c start pwm1 start register 0x01c2 2410 rpt pwm1 repeat count register 0x01c2 2414 per pwm1 period register 0x01c2 2418 ph1d pwm1 first-phase duration register 0x01c2 241c -0x01c2 27ff - reserved table 6-107. pwm2 register memory map hex address range acronym register name 0x01c2 2800 reserved 0x01c2 2804 pcr pwm2 peripheral control register 0x01c2 2808 cfg pwm2 configuration register 0x01c2 280c start pwm2 start register 0x01c2 2810 rpt pwm2 repeat count register 0x01c2 2814 per pwm2 period register 0x01c2 2818 ph1d pwm2 first-phase duration register 0x01c2 281c - 0x01c2 2bff - reserved peripheral and electrical specifications 220 submit documentation feedback
6.23.1 pwm0/1/2 electrical/timing data tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-108. switching characteristics over recommended operating conditions for pwm0/1/2 outputs (see figure 6-75 and figure 6-76 ) a-513, -594 no. parameter unit min max 1 t w(pwmh) pulse duration, pwmx high 37 ns 2 t w(pwml) pulse duration, pwmx low 37 ns 3 t t(pwm) transition time, pwmx 5 ns 4 t d(ccdc-pwmv) delay time, ccdc(vd) trigger event to pwmx valid 2 10 ns figure 6-75. pwm output timing figure 6-76. pwm output delay timing submit documentation feedback peripheral and electrical specifications 221 pwm0/1/2 1 3 3 2 4 vd(ccdc) 4 4 invalid invalid invalid valid valid valid pwm0pwm1 pwm2
6.24 vlynq 6.24.1 vlynq peripheral register description(s) tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the dm6446 vlynq peripheral provides a high speed serial communications interface with the following features. low pin count scalable performance / support simple packet based transfer protocol for memory mapped access ? write request / data packet ? read request packet ? read response data packet ? interrupt request packet supports both symmetric and asymmetric operation ? tx pins on first device connect to rx pins on second device and vice versa ? data pin widths are automatically detected after reset ? request packets, response packets, and flow control information are all multiplexed and sent across the same physical pins ? supports both host/peripheral and peer to peer communication simple block code packet formatting (8b/10b) in band flow control ? no extra pins needed ? allows receiver to momentarily throttle back transmitter when overflow is about to occur ? uses built in special code capability of block code to seamlessly interleave flow control information with user data ? allows system designer to balance cost of data buffering versus performance multiple outstanding transactions automatic packet formatting optimizations internal loop-back mode table 6-109. vlynq registers hex address range acronym register name 0x01e0 1000 - reserved 0x01e0 1004 ctrl vlynq local control register 0x01e0 1008 stat vlynq local status register 0x01e0 100c intpri vlynq local interrupt priority vector status/clear register 0x01e0 1010 intstatclr vlynq local unmasked interrupt status/clear register 0x01e0 1014 intpendset vlynq local interrupt pending/set register 0x01e0 1018 intptr vlynq local interrupt pointer register 0x01e0 101c xam vlynq local transmit address map register 0x01e0 1020 rams1 vlynq local receive address map size 1 register 0x01e0 1024 ramo1 vlynq local receive address map offset 1 register 0x01e0 1028 rams2 vlynq local receive address map size 2 register 0x01e0 102c ramo2 vlynq local receive address map offset 2 register 0x01e0 1030 rams3 vlynq local receive address map size 3 register 0x01e0 1034 ramo3 vlynq local receive address map offset 3 register 0x01e0 1038 rams4 vlynq local receive address map size 4 register 0x01e0 103c ramo4 vlynq local receive address map offset 4 register 0x01e0 1040 chipver vlynq local chip version register peripheral and electrical specifications 222 submit documentation feedback
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-109. vlynq registers (continued) hex address range acronym register name 0x01e0 1044 autngo vlynq local auto negotiation register 0x01e0 1048 - reserved 0x01e0 104c - reserved 0x01e0 1050 - 0x01e0 105c - reserved 0x01e0 1060 - reserved 0x01e0 1064 - reserved 0x01e0 1068 - 0x01e0 107c - reserved for future use 0x01e0 1080 rrevid vlynq remote revision register 0x01e0 1084 rctrl vlynq remote control register 0x01e0 1088 rstat vlynq remote status register 0x01e0 108c rintpri vlynq remote interrupt priority vector status/clear register 0x01e0 1090 rintstatclr vlynq remote unmasked interrupt status/clear register 0x01e0 1094 rintpendset vlynq remote interrupt pending/set register 0x01e0 1098 rintptr vlynq remote interrupt pointer register 0x01e0 109c rxam vlynq remote transmit address map register 0x01e0 10a0 rrams1 vlynq remote receive address map size 1 register 0x01e0 10a4 rramo1 vlynq remote receive address map offset 1 register 0x01e0 10a8 rrams2 vlynq remote receive address map size 2 register 0x01e0 10ac rramo2 vlynq remote receive address map offset 2 register 0x01e0 10b0 rrams3 vlynq remote receive address map size 3 register 0x01e0 10b4 rramo3 vlynq remote receive address map offset 3 register 0x01e0 10b8 rrams4 vlynq remote receive address map size 4 register 0x01e0 10bc rramo4 vlynq remote receive address map offset 4 register vlynq remote chip version register (values on the device_id and 0x01e0 10c0 rchipver device_rev pins of remote vlynq) 0x01e0 10c4 rautngo vlynq remote auto negotiation register 0x01e0 10c8 rmanngo vlynq remote manual negotiation register 0x01e0 10cc rngostat vlynq remote negotiation status register 0x01e0 10d0 - 0x01e0 10dc - reserved vlynq remote interrupt vectors 3 - 0 (sourced from vlynq_int_i[3:0] port of 0x01e0 10e0 rintvec0 remote vlynq) vlynq remote interrupt vectors 7 - 4 (sourced from vlynq_int_i[7:4] port of 0x01e0 10e4 rintvec1 remote vlynq) 0x01e0 10e8 - 0x01e0 10fc - reserved for future use 0x01e0 1100 - 0x01e0 1fff - reserved submit documentation feedback peripheral and electrical specifications 223
6.24.2 vlynq electrical data/timing tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com table 6-110. timing requirements for vlynq_clk for vlynq (see figure 6-77 ) a-513, -594 no. unit min max 1 t c(vclk) cycle time, vlynq_clk 10 ns pulse duration, vlynq_clk high [clk external] 3 ns 2 t w(vclkh) pulse duration, vlynq_clk high [clk internal] 4 ns pulse duration, vlynq_clk low [clk external] 3 ns 3 t w(vclkl) pulse duration, vlynq_clk low [clk internal] 4 ns 4 t t(vclk) transition time, vlynq_clk 3 ns figure 6-77. vlynq_clk timing for vlynq 224 peripheral and electrical specifications submit documentation feedback vlynq_clk 4 4 3 1 2
tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-111. switching characteristics over recommended operating conditions for transmit data for the vlynq module (see figure 6-78 ) a-513, -594 no. parameter unit min max delay time, vlynq_clk high to vlynq_txd[3:0] invalid [ slow mode] 1 ns t d(vclkh- 1 txdi) delay time, vlynq_clk high to vlynq_ txd[3:0] invalid [ fast mode] 0.5 ns t d(vclkh- 2 delay time, vlynq_clk to vlynq_txd[3:0] valid 9.75 ns txdv) table 6-112. timing requirements for receive data for the vlynq module (see figure 6-78 ) a-513, -594 no. unit min max rtm disabled, rtm sample = 3 0.8 ns rtm enabled, rxd flop = 0 2.2 ns rtm enabled, rxd flop = 1 1.9 ns rtm enabled, rxd flop = 2 1.4 ns setup time, vlynq_rxd[3:0] valid before 3 t su(rxdv-vclkh) rtm enabled, rxd flop = 3 0.8 ns vlynq_clk high rtm enabled, rxd flop = 4 0.4 ns rtm enabled, rxd flop = 5 0.1 ns rtm enabled, rxd flop = 6 -0.2 ns rtm enabled, rxd flop = 7 -0.4 ns rtm disabled, rtm sample = 3 2 ns rtm enabled, rxd flop = 0 0.6 ns rtm enabled, rxd flop = 1 1.0 ns rtm enabled, rxd flop = 2 1.5 ns hold time, vlynq_rxd[3:0] valid after 4 t h(vclkh-rxdv) rtm enabled, rxd flop = 3 2.0 ns vlynq_clk high rtm enabled, rxd flop = 4 2.5 ns rtm enabled, rxd flop = 5 3.0 ns rtm enabled, rxd flop = 6 3.5 ns rtm enabled, rxd flop = 7 4.0 ns figure 6-78. vlynq transmit/receive timing submit documentation feedback peripheral and electrical specifications 225 vlynq_clk vlynq_txd[3:0] vlynq_rxd[3:0] 1 2 3 4 data data
6.25 ieee 1149.1 jtag 6.25.1 jtag peripheral register description(s) ? jtag id register tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the jtag (1) interface is used for bsdl testing and emulation of the dm6446 device. the dm6446 device requires that both trst and reset be asserted upon power up to be properly initialized. while reset initializes the device, trst initializes the device's emulation logic. both resets are required for proper operation. while both trst and reset need to be asserted upon power up, only reset needs to be released for the device to boot properly. trst may be asserted indefinitely for normal operation, keeping the jtag port interface and device's emulation logic in the reset state. trst only needs to be released when it is necessary to use a jtag controller to debug the device or exercise the device's boundary scan functionality. note: trst is synchronous and must be clocked by tck; otherwise, the boundary scan logic may not respond as expected after trst is asserted. reset must be released only in order for boundary-scan jtag to read the variant field of idcode correctly. other boundary-scan instructions work correctly independent of current state of reset. for maximum reliability, dm6446 includes an internal pulldown (ipd) on the trst pin to ensure that trst will always be asserted upon power up and the device's internal emulation logic will always be properly initialized. jtag controllers from texas instruments actively drive trst high. however, some third-party jtag controllers may not drive trst high but expect the use of a pullup resistor on trst. when using this type of jtag controller, assert trst to initialize the device after powerup and externally drive trst high before attempting any emulation or boundary scan operations. (1) ieee standard 1149.1-1990 standard-test-access port and boundary scan architecture. table 6-113. jtag id register hex address range acronym register name comments read-only. provides 32-bit 0x01c4 0028 jtagid jtag identification register jtag id of the device. the jtag id register is a read-only register that identifies to the customer the jtag/device id. for the dm6446 device, the jtag id register resides at address location 0x01c4 0028. the register hex value for dm6446 is: 0x0b70 002f for silicon revisions 1.3 and earlier, and 0x1b70 002f for silicon revision 2.1. for the actual register bit names and their associated bit field descriptions, see figure 6-79 and table 6-114 . 31-28 27-12 11-1 0 variant part number (16-bit) manufacturer (11-bit) lsb (4-bit) (a) r-000x r-1011 0111 0000 0000 r-0000 0010 111 r-1 legend: r = read, w = write, n = value at reset (a) for silicon revisions 1.3 and earlier, variant = 0000. for silicon revision 2.1, variant = 0001. figure 6-79. jtag id register description - dm6446 register value - 0xxb70 002f peripheral and electrical specifications 226 submit documentation feedback
6.25.2 jtag test-port electrical data/timing tms320dm6446 digital media system-on-chip www.ti.com sprs283f ? december 2005 ? revised march 2008 table 6-114. jtag id register selection bit descriptions bit name description variant (4-bit) value. dm6446 value: 0000 for silicon revisions 1.3 and earlier, and 0001 for 31:28 variant silicon revision 2.1. 27:12 part number part number (16-bit) value. dm6446 value: 1011 0111 0000 0000. 11-1 manufacturer manufacturer (11-bit) value. dm6446 value: 0000 0010 111. 0 lsb lsb. this bit is read as a "1" for dm6446. table 6-115. timing requirements for jtag test port (see figure 6-80 ) a-513, -594 no. unit min max 1 t c(tck) cycle time, tck 20 ns 2 t w(tckh) pulse duration, tck high 8 ns 3 t w(tckl) pulse duration, tck low 8 ns 4 t c(rtck) cycle time, rtck 20 ns 5 t w(rtckh) pulse duration, rtck high 10 ns 6 t w(rtckl) pulse duration, rtck low 10 ns 7 t su(tdiv-rtckh) setup time, tdi/tms valid before rtck high 10 ns 8 t h(rtckh-tdiv) hold time, tdi/tms valid after rtck high 1 ns table 6-116. switching characteristics over recommended operating conditions for jtag test port (see figure 6-80 ) a-513, -594 no. parameter unit min max 9 t d(rtckl-tdov) delay time, rtck low to tdo valid 15 ns figure 6-80. jtag test-port timing submit documentation feedback peripheral and electrical specifications 227 tck tdo tdi/tms 1 7 2 3 rtck 4 5 6 9 8
7 mechanical packaging and orderable information 7.1 thermal data for zwt 7.1.1 packaging information tms320dm6446 digital media system-on-chip sprs283f ? december 2005 ? revised march 2008 www.ti.com the following table(s) show the thermal resistance characteristics for the pbga?zwt mechanical package. table 7-1. thermal resistance characteristics (pbga package) [zwt] no. c/w (1) air flow (m/s) (2) 1 r integrated circuits thermal test method environment conditions - natural convection (still air) and jesd51-7, high effective thermal conductivity test board for leaded surface mount packages. (2) m/s = meters per second the following packaging information and addendum reflect the most current data available for the designated device(s). this data is subject to change without notice and without revision of this document. 228 mechanical packaging and orderable information submit documentation feedback
packaging information orderable device status (1) package type package drawing pins package qty eco plan (2) lead/ball finish msl peak temp (3) tms320dm6446azwt active bga zwt 361 90 pb-free (rohs) call ti level-3-260c-168 hr tms320dm6446azwta active bga zwt 361 90 pb-free (rohs) snagcu level-3-260c-168 hr TMS320DM6446ZWT active bga zwt 361 pb-free (rohs) call ti level-3-260c-168 hr (1) the marketing status values are defined as follows: active: product device recommended for new designs. lifebuy: ti has announced that the device will be discontinued, and a lifetime-buy period is in effect. nrnd: not recommended for new designs. device is in production to support existing customers, but ti does not recommend using this part in a new design. preview: device has been announced but is not in production. samples may or may not be available. obsolete: ti has discontinued the production of the device. (2) eco plan - the planned eco-friendly classification: pb-free (rohs), pb-free (rohs exempt), or green (rohs & no sb/br) - please check http://www.ti.com/productcontent for the latest availability information and additional product content details. tbd: the pb-free/green conversion plan has not been defined. pb-free (rohs): ti's terms "lead-free" or "pb-free" mean semiconductor products that are compatible with the current rohs requirements for all 6 substances, including the requirement that lead not exceed 0.1% by weight in homogeneous materials. where designed to be soldered at high temperatures, ti pb-free products are suitable for use in specified lead-free processes. pb-free (rohs exempt): this component has a rohs exemption for either 1) lead-based flip-chip solder bumps used between the die and package, or 2) lead-based die adhesive used between the die and leadframe. the component is otherwise considered pb-free (rohs compatible) as defined above. green (rohs & no sb/br): ti defines "green" to mean pb-free (rohs compatible), and free of bromine (br) and antimony (sb) based flame retardants (br or sb do not exceed 0.1% by weight in homogeneous material) (3) msl, peak temp. -- the moisture sensitivity level rating according to the jedec industry standard classifications, and peak solder temperature. important information and disclaimer: the information provided on this page represents ti's knowledge and belief as of the date that it is provided. ti bases its knowledge and belief on information provided by third parties, and makes no representation or warranty as to the accuracy of such information. efforts are underway to better integrate information from third parties. ti has taken and continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals. ti and ti suppliers consider certain information to be proprietary, and thus cas numbers and other limited information may not be available for release. in no event shall ti's liability arising out of such information exceed the total purchase price of the ti part(s) at issue in this document sold by ti to customer on an annual basis. package option addendum www.ti.com 9-may-2008 addendum-page 1

important notice texas instruments incorporated and its subsidiaries (ti) reserve the right to make corrections, modifications, enhancements, improvements, and other changes to its products and services at any time and to discontinue any product or service without notice. customers should obtain the latest relevant information before placing orders and should verify that such information is current and complete. all products are sold subject to ti?s terms and conditions of sale supplied at the time of order acknowledgment. ti warrants performance of its hardware products to the specifications applicable at the time of sale in accordance with ti?s standard warranty. testing and other quality control techniques are used to the extent ti deems necessary to support this warranty. except where mandated by government requirements, testing of all parameters of each product is not necessarily performed. ti assumes no liability for applications assistance or customer product design. customers are responsible for their products and applications using ti components. to minimize the risks associated with customer products and applications, customers should provide adequate design and operating safeguards. ti does not warrant or represent that any license, either express or implied, is granted under any ti patent right, copyright, mask work right, or other ti intellectual property right relating to any combination, machine, or process in which ti products or services are used. information published by ti regarding third-party products or services does not constitute a license from ti to use such products or services or a warranty or endorsement thereof. use of such information may require a license from a third party under the patents or other intellectual property of the third party, or a license from ti under the patents or other intellectual property of ti. reproduction of ti information in ti data books or data sheets is permissible only if reproduction is without alteration and is accompanied by all associated warranties, conditions, limitations, and notices. reproduction of this information with alteration is an unfair and deceptive business practice. ti is not responsible or liable for such altered documentation. information of third parties may be subject to additional restrictions. resale of ti products or services with statements different from or beyond the parameters stated by ti for that product or service voids all express and any implied warranties for the associated ti product or service and is an unfair and deceptive business practice. ti is not responsible or liable for any such statements. ti products are not authorized for use in safety-critical applications (such as life support) where a failure of the ti product would reasonably be expected to cause severe personal injury or death, unless officers of the parties have executed an agreement specifically governing such use. buyers represent that they have all necessary expertise in the safety and regulatory ramifications of their applications, and acknowledge and agree that they are solely responsible for all legal, regulatory and safety-related requirements concerning their products and any use of ti products in such safety-critical applications, notwithstanding any applications-related information or support that may be provided by ti. further, buyers must fully indemnify ti and its representatives against any damages arising out of the use of ti products in such safety-critical applications. ti products are neither designed nor intended for use in military/aerospace applications or environments unless the ti products are specifically designated by ti as military-grade or "enhanced plastic." only products designated by ti as military-grade meet military specifications. buyers acknowledge and agree that any such use of ti products which ti has not designated as military-grade is solely at the buyer's risk, and that they are solely responsible for compliance with all legal and regulatory requirements in connection with such use. ti products are neither designed nor intended for use in automotive applications or environments unless the specific ti products are designated by ti as compliant with iso/ts 16949 requirements. buyers acknowledge and agree that, if they use any non-designated products in automotive applications, ti will not be responsible for any failure to meet such requirements. following are urls where you can obtain information on other texas instruments products and application solutions: products applications amplifiers amplifier.ti.com audio www.ti.com/audio data converters dataconverter.ti.com automotive www.ti.com/automotive dsp dsp.ti.com broadband www.ti.com/broadband clocks and timers www.ti.com/clocks digital control www.ti.com/digitalcontrol interface interface.ti.com medical www.ti.com/medical logic logic.ti.com military www.ti.com/military power mgmt power.ti.com optical networking www.ti.com/opticalnetwork microcontrollers microcontroller.ti.com security www.ti.com/security rfid www.ti-rfid.com telephony www.ti.com/telephony rf/if and zigbee? solutions www.ti.com/lprf video & imaging www.ti.com/video wireless www.ti.com/wireless mailing address: texas instruments, post office box 655303, dallas, texas 75265 copyright ? 2008, texas instruments incorporated


▲Up To Search▲   

 
Price & Availability of TMS320DM6446ZWT

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X